ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ /* OPTION: using custom schema-name function */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ '\X2\9AD8901F5F005173\X0\.stp', /* time_stamp */ '2024-03-26T10:04:12+08:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v16', /* originating_system */ 'SIEMENS PLM Software NX 10.0', /* authorisation */ ''); FILE_SCHEMA (('AP203_CONFIGURATION_CONTROLLED_3D_DESIGN_OF_MECHANICAL_PARTS_AND_ASSEMBLIES_MIM_LF { 1 0 10303 403 2 1 2}')); ENDSEC; DATA; #10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); #11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); #12=REPRESENTATION('',(#16),#5377); #13=REPRESENTATION('',(#17),#5377); #14=PROPERTY_DEFINITION('pmi validation property','',#89); #15=PROPERTY_DEFINITION('pmi validation property','',#89); #16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); #17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); #18=SHAPE_REPRESENTATION_RELATIONSHIP('None', 'relationship between taib3A584F71xj4y-None and taib3A584F71xj4y-None', #100,#19); #19=ADVANCED_BREP_SHAPE_REPRESENTATION('taib3A584F71xj4y-None',(#101),#5377); #20=CC_DESIGN_APPROVAL(#32,(#90)); #21=CC_DESIGN_APPROVAL(#33,(#92)); #22=CC_DESIGN_APPROVAL(#34,(#39)); #23=APPROVAL_PERSON_ORGANIZATION(#77,#32,#26); #24=APPROVAL_PERSON_ORGANIZATION(#78,#33,#27); #25=APPROVAL_PERSON_ORGANIZATION(#79,#34,#28); #26=APPROVAL_ROLE('approver'); #27=APPROVAL_ROLE('approver'); #28=APPROVAL_ROLE('approver'); #29=APPROVAL_DATE_TIME(#47,#32); #30=APPROVAL_DATE_TIME(#48,#33); #31=APPROVAL_DATE_TIME(#49,#34); #32=APPROVAL(#35,' '); #33=APPROVAL(#36,' '); #34=APPROVAL(#37,' '); #35=APPROVAL_STATUS('not_yet_approved'); #36=APPROVAL_STATUS('not_yet_approved'); #37=APPROVAL_STATUS('not_yet_approved'); #38=CC_DESIGN_SECURITY_CLASSIFICATION(#39,(#92)); #39=SECURITY_CLASSIFICATION(' ',' ',#40); #40=SECURITY_CLASSIFICATION_LEVEL('confidential'); #41=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#45,#43,(#90)); #42=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#46,#44,(#39)); #43=DATE_TIME_ROLE('creation_date'); #44=DATE_TIME_ROLE('classification_date'); #45=DATE_AND_TIME(#60,#50); #46=DATE_AND_TIME(#61,#51); #47=DATE_AND_TIME(#62,#52); #48=DATE_AND_TIME(#63,#53); #49=DATE_AND_TIME(#64,#54); #50=LOCAL_TIME(0,0,0.,#55); #51=LOCAL_TIME(0,0,0.,#56); #52=LOCAL_TIME(0,0,0.,#57); #53=LOCAL_TIME(0,0,0.,#58); #54=LOCAL_TIME(0,0,0.,#59); #55=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #56=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #57=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #58=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #59=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #60=CALENDAR_DATE(0,1,1); #61=CALENDAR_DATE(0,1,1); #62=CALENDAR_DATE(0,1,1); #63=CALENDAR_DATE(0,1,1); #64=CALENDAR_DATE(0,1,1); #65=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#73,#69,(#90,#92)); #66=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#74,#70,(#94)); #67=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#75,#71,(#92)); #68=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#76,#72,(#39)); #69=PERSON_AND_ORGANIZATION_ROLE('creator'); #70=PERSON_AND_ORGANIZATION_ROLE('design_owner'); #71=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); #72=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #73=PERSON_AND_ORGANIZATION(#87,#80); #74=PERSON_AND_ORGANIZATION(#87,#81); #75=PERSON_AND_ORGANIZATION(#87,#82); #76=PERSON_AND_ORGANIZATION(#87,#83); #77=PERSON_AND_ORGANIZATION(#87,#84); #78=PERSON_AND_ORGANIZATION(#87,#85); #79=PERSON_AND_ORGANIZATION(#87,#86); #80=ORGANIZATION(' ',' ',' '); #81=ORGANIZATION(' ',' ',' '); #82=ORGANIZATION(' ',' ',' '); #83=ORGANIZATION(' ',' ',' '); #84=ORGANIZATION(' ',' ',' '); #85=ORGANIZATION(' ',' ',' '); #86=ORGANIZATION(' ',' ',' '); #87=PERSON(' ',' ',' ',(' '),$,$); #88=SHAPE_DEFINITION_REPRESENTATION(#89,#100); #89=PRODUCT_DEFINITION_SHAPE('','',#90); #90=PRODUCT_DEFINITION(' ','',#92,#91); #91=DESIGN_CONTEXT('',#99,'design'); #92=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE(' ',' ',#94, .NOT_KNOWN.); #93=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#94)); #94=PRODUCT('taib3A584F71xj4y','taib3A584F71xj4y',' ',(#97)); #95=PRODUCT_CATEGORY('part',' '); #96=PRODUCT_CATEGORY(' ',''); #97=MECHANICAL_CONTEXT(' ',#99,'mechanical'); #98=APPLICATION_PROTOCOL_DEFINITION('international standard', 'config_control_design',2010,#99); #99=APPLICATION_CONTEXT( 'configuration controlled 3D designs of mechanical parts and assemblie s'); #100=SHAPE_REPRESENTATION('taib3A584F71xj4y-None',(#3184),#5377); #101=BREP_WITH_VOIDS('',#118,(#113,#114,#115,#116,#117)); #102=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5282,#5283,#5284,#5285), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #103=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5288,#5289,#5290,#5291,#5292,#5293), .UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.5,1.),.UNSPECIFIED.); #104=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5295,#5296,#5297,#5298), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #105=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5300,#5301,#5302,#5303,#5304,#5305), .UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.5,1.),.UNSPECIFIED.); #106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5307,#5308,#5309,#5310), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #107=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5312,#5313,#5314,#5315,#5316,#5317), .UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.5,1.),.UNSPECIFIED.); #108=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5319,#5320,#5321,#5322), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #109=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5324,#5325,#5326,#5327,#5328,#5329), .UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.5,1.),.UNSPECIFIED.); #110=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5361,#5362,#5363,#5364), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #111=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5366,#5367,#5368,#5369), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #112=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5371,#5372,#5373,#5374), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #113=ORIENTED_CLOSED_SHELL('',*,#119,.F.); #114=ORIENTED_CLOSED_SHELL('',*,#120,.F.); #115=ORIENTED_CLOSED_SHELL('',*,#121,.F.); #116=ORIENTED_CLOSED_SHELL('',*,#122,.F.); #117=ORIENTED_CLOSED_SHELL('',*,#123,.F.); #118=CLOSED_SHELL('',(#254,#255,#256,#257,#258,#259,#260,#261,#262,#263, #264,#265,#266,#267,#268,#269,#270,#271,#272,#273,#274,#275,#276,#277,#278, #279,#280,#281,#282,#283,#284,#285,#286,#287,#288,#289,#290,#291,#292,#293, #294,#295,#296,#297,#298,#299,#300,#301,#302,#303,#304,#305,#306,#307,#308, #309,#310,#311,#312,#313,#314,#315,#316,#317,#318,#319,#320,#321,#322,#323, #324,#325,#326,#327,#328,#329,#330,#331,#332,#333,#334,#335,#336,#337,#338, #339,#340,#341,#342,#343,#344,#345,#346,#347,#348,#349,#350,#351,#352,#353, #354,#355,#356,#357,#358,#359,#360,#361,#362,#363,#364,#365,#366,#367,#368, #369,#370)); #119=CLOSED_SHELL('',(#371,#372,#373,#374,#375,#376,#377,#378,#379,#380, #381,#382,#383,#384,#385,#386,#387,#388,#389,#390,#391,#392,#393,#394,#395, #396,#397,#398)); #120=CLOSED_SHELL('',(#399,#400,#401,#402,#403,#404,#405,#406)); #121=CLOSED_SHELL('',(#407,#408,#409,#410,#411,#412,#413,#414)); #122=CLOSED_SHELL('',(#415,#416,#417,#418,#419,#420,#421)); #123=CLOSED_SHELL('',(#422,#423,#424,#425,#426,#427,#428)); #124=TOROIDAL_SURFACE('',#3291,1.6,0.3); #125=TOROIDAL_SURFACE('',#3294,0.575,0.2); #126=TOROIDAL_SURFACE('',#3326,2.65,0.2); #127=TOROIDAL_SURFACE('',#3331,2.2,0.3); #128=TOROIDAL_SURFACE('',#3337,2.2,0.3); #129=TOROIDAL_SURFACE('',#3339,2.65,0.2); #130=TOROIDAL_SURFACE('',#3366,2.65,0.2); #131=TOROIDAL_SURFACE('',#3371,2.2,0.3); #132=TOROIDAL_SURFACE('',#3377,2.2,0.3); #133=TOROIDAL_SURFACE('',#3379,2.65,0.2); #134=CONICAL_SURFACE('',#3268,3.53544850134624,18.); #135=CONICAL_SURFACE('',#3269,2.96791111376205,54.9999999999998); #136=SPHERICAL_SURFACE('',#3260,0.3); #137=SPHERICAL_SURFACE('',#3262,0.3); #138=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#4540,#4541,#4542,#4543),(#4544,#4545,#4546,#4547), (#4548,#4549,#4550,#4551),(#4552,#4553,#4554,#4555)),.UNSPECIFIED.,.F., .F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,4),(0.,1.),(0.,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.949253021674187,0.949253021674187,1.), (0.804737854124359,0.763899839683149,0.763899839683149,0.804737854124359), (0.804737854124359,0.763899839683149,0.763899839683149,0.804737854124359), (1.,0.949253021674187,0.949253021674187,1.))) REPRESENTATION_ITEM('') SURFACE() ); #139=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#4557,#4558,#4559,#4560),(#4561,#4562,#4563,#4564), (#4565,#4566,#4567,#4568),(#4569,#4570,#4571,#4572)),.UNSPECIFIED.,.F., .F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,4),(0.,1.),(0.,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.949253021674189,0.949253021674189,1.), (0.804737854124364,0.763899839683155,0.763899839683155,0.804737854124364), (0.804737854124364,0.763899839683155,0.763899839683155,0.804737854124364), (1.,0.949253021674189,0.949253021674189,1.))) REPRESENTATION_ITEM('') SURFACE() ); #140=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#4577,#4578,#4579,#4580),(#4581,#4582,#4583,#4584), (#4585,#4586,#4587,#4588),(#4589,#4590,#4591,#4592)),.UNSPECIFIED.,.F., .F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,4),(0.,1.),(0.,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.949253021674187,0.949253021674187,1.), (0.80473785412436,0.763899839683149,0.763899839683149,0.80473785412436), (0.80473785412436,0.763899839683149,0.763899839683149,0.80473785412436), (1.,0.949253021674187,0.949253021674187,1.))) REPRESENTATION_ITEM('') SURFACE() ); #141=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#4593,#4594,#4595,#4596),(#4597,#4598,#4599,#4600), (#4601,#4602,#4603,#4604),(#4605,#4606,#4607,#4608)),.UNSPECIFIED.,.F., .F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,4),(0.,1.),(0.,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.949253021674193,0.949253021674193,1.), (0.804737854124363,0.763899839683157,0.763899839683157,0.804737854124363), (0.804737854124363,0.763899839683157,0.763899839683157,0.804737854124363), (1.,0.949253021674193,0.949253021674193,1.))) REPRESENTATION_ITEM('') SURFACE() ); #142=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#4704,#4705,#4706,#4707,#4708,#4709,#4710),(#4711, #4712,#4713,#4714,#4715,#4716,#4717),(#4718,#4719,#4720,#4721,#4722,#4723, #4724),(#4725,#4726,#4727,#4728,#4729,#4730,#4731)),.UNSPECIFIED.,.F.,.T., .F.) B_SPLINE_SURFACE_WITH_KNOTS((4,4),(1,3,3,3,1),(0.,1.),(-0.5,0.,0.5,1.,1.5), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333333,0.333333333333333,1.,0.333333333333333, 0.333333333333333,1.),(0.804737854124365,0.268245951374788,0.268245951374788, 0.804737854124365,0.268245951374788,0.268245951374788,0.804737854124365), (0.804737854124365,0.268245951374788,0.268245951374788,0.804737854124365, 0.268245951374788,0.268245951374788,0.804737854124365),(1.,0.333333333333333, 0.333333333333333,1.,0.333333333333333,0.333333333333333,1.))) REPRESENTATION_ITEM('') SURFACE() ); #143=CYLINDRICAL_SURFACE('',#3206,4.2); #144=CYLINDRICAL_SURFACE('',#3210,4.2); #145=CYLINDRICAL_SURFACE('',#3213,4.2); #146=CYLINDRICAL_SURFACE('',#3217,4.2); #147=CYLINDRICAL_SURFACE('',#3219,0.3); #148=CYLINDRICAL_SURFACE('',#3227,0.3); #149=CYLINDRICAL_SURFACE('',#3231,0.3); #150=CYLINDRICAL_SURFACE('',#3234,0.3); #151=CYLINDRICAL_SURFACE('',#3239,0.5); #152=CYLINDRICAL_SURFACE('',#3242,0.5); #153=CYLINDRICAL_SURFACE('',#3245,0.3); #154=CYLINDRICAL_SURFACE('',#3248,0.3); #155=CYLINDRICAL_SURFACE('',#3251,0.3); #156=CYLINDRICAL_SURFACE('',#3254,0.3); #157=CYLINDRICAL_SURFACE('',#3263,0.3); #158=CYLINDRICAL_SURFACE('',#3272,1.95); #159=CYLINDRICAL_SURFACE('',#3285,0.375); #160=CYLINDRICAL_SURFACE('',#3290,1.9); #161=CYLINDRICAL_SURFACE('',#3299,0.29); #162=CYLINDRICAL_SURFACE('',#3302,0.29); #163=CYLINDRICAL_SURFACE('',#3307,0.29); #164=CYLINDRICAL_SURFACE('',#3310,0.29); #165=CYLINDRICAL_SURFACE('',#3313,0.29); #166=CYLINDRICAL_SURFACE('',#3317,0.29); #167=CYLINDRICAL_SURFACE('',#3320,1.25); #168=CYLINDRICAL_SURFACE('',#3333,2.5); #169=CYLINDRICAL_SURFACE('',#3341,0.3); #170=CYLINDRICAL_SURFACE('',#3344,0.3); #171=CYLINDRICAL_SURFACE('',#3348,0.3); #172=CYLINDRICAL_SURFACE('',#3351,0.3); #173=CYLINDRICAL_SURFACE('',#3355,2.8); #174=CYLINDRICAL_SURFACE('',#3356,2.8); #175=CYLINDRICAL_SURFACE('',#3360,1.25); #176=CYLINDRICAL_SURFACE('',#3373,2.5); #177=CYLINDRICAL_SURFACE('',#3381,0.3); #178=CYLINDRICAL_SURFACE('',#3384,0.3); #179=CYLINDRICAL_SURFACE('',#3388,0.3); #180=CYLINDRICAL_SURFACE('',#3391,0.3); #181=CYLINDRICAL_SURFACE('',#3395,2.8); #182=CYLINDRICAL_SURFACE('',#3396,2.8); #183=CYLINDRICAL_SURFACE('',#3438,3.); #184=CYLINDRICAL_SURFACE('',#3446,3.); #185=CYLINDRICAL_SURFACE('',#3451,3.); #186=CYLINDRICAL_SURFACE('',#3459,3.); #187=FACE_BOUND('',#684,.T.); #188=FACE_BOUND('',#685,.T.); #189=FACE_BOUND('',#686,.T.); #190=FACE_BOUND('',#687,.T.); #191=FACE_BOUND('',#688,.T.); #192=FACE_BOUND('',#689,.T.); #193=FACE_BOUND('',#690,.T.); #194=FACE_BOUND('',#691,.T.); #195=FACE_BOUND('',#692,.T.); #196=FACE_BOUND('',#706,.T.); #197=FACE_BOUND('',#707,.T.); #198=FACE_BOUND('',#719,.T.); #199=FACE_BOUND('',#720,.T.); #200=FACE_BOUND('',#724,.T.); #201=FACE_BOUND('',#725,.T.); #202=FACE_BOUND('',#726,.T.); #203=FACE_BOUND('',#727,.T.); #204=FACE_BOUND('',#728,.T.); #205=FACE_BOUND('',#729,.T.); #206=FACE_BOUND('',#731,.T.); #207=FACE_BOUND('',#732,.T.); #208=FACE_BOUND('',#743,.T.); #209=FACE_BOUND('',#744,.T.); #210=FACE_BOUND('',#745,.T.); #211=FACE_BOUND('',#746,.T.); #212=FACE_BOUND('',#747,.T.); #213=FACE_BOUND('',#748,.T.); #214=FACE_BOUND('',#749,.T.); #215=FACE_BOUND('',#750,.T.); #216=FACE_BOUND('',#752,.T.); #217=FACE_BOUND('',#753,.T.); #218=FACE_BOUND('',#754,.T.); #219=FACE_BOUND('',#755,.T.); #220=FACE_BOUND('',#765,.T.); #221=FACE_BOUND('',#766,.T.); #222=FACE_BOUND('',#768,.T.); #223=FACE_BOUND('',#769,.T.); #224=FACE_BOUND('',#770,.T.); #225=FACE_BOUND('',#771,.T.); #226=FACE_BOUND('',#772,.T.); #227=FACE_BOUND('',#773,.T.); #228=FACE_BOUND('',#774,.T.); #229=FACE_BOUND('',#775,.T.); #230=FACE_BOUND('',#779,.T.); #231=FACE_BOUND('',#780,.T.); #232=FACE_BOUND('',#784,.T.); #233=FACE_BOUND('',#785,.T.); #234=FACE_BOUND('',#789,.T.); #235=FACE_BOUND('',#790,.T.); #236=FACE_BOUND('',#795,.T.); #237=FACE_BOUND('',#796,.T.); #238=FACE_BOUND('',#797,.T.); #239=FACE_BOUND('',#798,.T.); #240=FACE_BOUND('',#799,.T.); #241=FACE_BOUND('',#800,.T.); #242=FACE_BOUND('',#801,.T.); #243=FACE_BOUND('',#802,.T.); #244=FACE_BOUND('',#806,.T.); #245=FACE_BOUND('',#807,.T.); #246=FACE_BOUND('',#811,.T.); #247=FACE_BOUND('',#812,.T.); #248=FACE_BOUND('',#816,.T.); #249=FACE_BOUND('',#817,.T.); #250=FACE_BOUND('',#823,.T.); #251=FACE_BOUND('',#824,.T.); #252=FACE_BOUND('',#883,.F.); #253=FACE_BOUND('',#884,.F.); #254=ADVANCED_FACE('',(#541),#429,.T.); #255=ADVANCED_FACE('',(#187,#188),#430,.T.); #256=ADVANCED_FACE('',(#189,#190),#431,.T.); #257=ADVANCED_FACE('',(#191,#192,#193),#432,.T.); #258=ADVANCED_FACE('',(#194,#195),#433,.T.); #259=ADVANCED_FACE('',(#542),#143,.F.); #260=ADVANCED_FACE('',(#543),#434,.T.); #261=ADVANCED_FACE('',(#544),#144,.F.); #262=ADVANCED_FACE('',(#545),#145,.F.); #263=ADVANCED_FACE('',(#546),#435,.T.); #264=ADVANCED_FACE('',(#547),#146,.F.); #265=ADVANCED_FACE('',(#548),#147,.T.); #266=ADVANCED_FACE('',(#549),#436,.T.); #267=ADVANCED_FACE('',(#550),#437,.T.); #268=ADVANCED_FACE('',(#551),#148,.T.); #269=ADVANCED_FACE('',(#552),#438,.T.); #270=ADVANCED_FACE('',(#553),#149,.T.); #271=ADVANCED_FACE('',(#554),#150,.T.); #272=ADVANCED_FACE('',(#196,#197),#439,.F.); #273=ADVANCED_FACE('',(#555),#151,.T.); #274=ADVANCED_FACE('',(#556),#152,.T.); #275=ADVANCED_FACE('',(#557),#138,.T.); #276=ADVANCED_FACE('',(#558),#139,.T.); #277=ADVANCED_FACE('',(#559),#153,.T.); #278=ADVANCED_FACE('',(#560),#154,.T.); #279=ADVANCED_FACE('',(#561),#140,.T.); #280=ADVANCED_FACE('',(#562),#141,.T.); #281=ADVANCED_FACE('',(#563),#155,.T.); #282=ADVANCED_FACE('',(#564),#156,.T.); #283=ADVANCED_FACE('',(#565),#440,.T.); #284=ADVANCED_FACE('',(#198,#199),#441,.T.); #285=ADVANCED_FACE('',(#566),#136,.T.); #286=ADVANCED_FACE('',(#567),#137,.T.); #287=ADVANCED_FACE('',(#568),#157,.T.); #288=ADVANCED_FACE('',(#200,#201),#442,.T.); #289=ADVANCED_FACE('',(#202,#203),#134,.T.); #290=ADVANCED_FACE('',(#204,#205),#135,.T.); #291=ADVANCED_FACE('',(#569),#443,.T.); #292=ADVANCED_FACE('',(#206,#207),#158,.T.); #293=ADVANCED_FACE('',(#570),#444,.T.); #294=ADVANCED_FACE('',(#571),#445,.T.); #295=ADVANCED_FACE('',(#572),#446,.T.); #296=ADVANCED_FACE('',(#573),#447,.F.); #297=ADVANCED_FACE('',(#574),#448,.F.); #298=ADVANCED_FACE('',(#575),#449,.F.); #299=ADVANCED_FACE('',(#576),#450,.F.); #300=ADVANCED_FACE('',(#577),#451,.T.); #301=ADVANCED_FACE('',(#578),#452,.T.); #302=ADVANCED_FACE('',(#579),#453,.T.); #303=ADVANCED_FACE('',(#208,#209),#159,.F.); #304=ADVANCED_FACE('',(#210,#211),#454,.F.); #305=ADVANCED_FACE('',(#212,#213),#160,.T.); #306=ADVANCED_FACE('',(#214,#215),#124,.T.); #307=ADVANCED_FACE('',(#580),#455,.F.); #308=ADVANCED_FACE('',(#216,#217),#125,.T.); #309=ADVANCED_FACE('',(#218,#219),#142,.T.); #310=ADVANCED_FACE('',(#581),#456,.T.); #311=ADVANCED_FACE('',(#582),#161,.T.); #312=ADVANCED_FACE('',(#583),#457,.F.); #313=ADVANCED_FACE('',(#584),#162,.T.); #314=ADVANCED_FACE('',(#585),#458,.T.); #315=ADVANCED_FACE('',(#586),#163,.T.); #316=ADVANCED_FACE('',(#587),#459,.T.); #317=ADVANCED_FACE('',(#588),#164,.T.); #318=ADVANCED_FACE('',(#589),#165,.T.); #319=ADVANCED_FACE('',(#220,#221),#460,.F.); #320=ADVANCED_FACE('',(#590),#166,.T.); #321=ADVANCED_FACE('',(#222,#223),#167,.F.); #322=ADVANCED_FACE('',(#224,#225),#461,.F.); #323=ADVANCED_FACE('',(#226,#227),#462,.T.); #324=ADVANCED_FACE('',(#228,#229),#126,.F.); #325=ADVANCED_FACE('',(#591),#127,.T.); #326=ADVANCED_FACE('',(#592),#168,.T.); #327=ADVANCED_FACE('',(#593),#128,.T.); #328=ADVANCED_FACE('',(#230,#231),#129,.F.); #329=ADVANCED_FACE('',(#594),#169,.T.); #330=ADVANCED_FACE('',(#595),#463,.F.); #331=ADVANCED_FACE('',(#596),#170,.T.); #332=ADVANCED_FACE('',(#232,#233),#464,.T.); #333=ADVANCED_FACE('',(#597),#171,.T.); #334=ADVANCED_FACE('',(#598),#465,.F.); #335=ADVANCED_FACE('',(#599),#172,.T.); #336=ADVANCED_FACE('',(#234,#235),#466,.T.); #337=ADVANCED_FACE('',(#600),#467,.T.); #338=ADVANCED_FACE('',(#601),#173,.T.); #339=ADVANCED_FACE('',(#602),#174,.T.); #340=ADVANCED_FACE('',(#603),#468,.F.); #341=ADVANCED_FACE('',(#236,#237),#175,.F.); #342=ADVANCED_FACE('',(#238,#239),#469,.T.); #343=ADVANCED_FACE('',(#240,#241),#470,.F.); #344=ADVANCED_FACE('',(#242,#243),#130,.F.); #345=ADVANCED_FACE('',(#604),#131,.T.); #346=ADVANCED_FACE('',(#605),#176,.T.); #347=ADVANCED_FACE('',(#606),#132,.T.); #348=ADVANCED_FACE('',(#244,#245),#133,.F.); #349=ADVANCED_FACE('',(#607),#177,.T.); #350=ADVANCED_FACE('',(#608),#471,.F.); #351=ADVANCED_FACE('',(#609),#178,.T.); #352=ADVANCED_FACE('',(#246,#247),#472,.T.); #353=ADVANCED_FACE('',(#610),#179,.T.); #354=ADVANCED_FACE('',(#611),#473,.T.); #355=ADVANCED_FACE('',(#612),#180,.T.); #356=ADVANCED_FACE('',(#248,#249),#474,.T.); #357=ADVANCED_FACE('',(#613),#475,.T.); #358=ADVANCED_FACE('',(#614),#181,.T.); #359=ADVANCED_FACE('',(#615),#182,.T.); #360=ADVANCED_FACE('',(#616),#476,.F.); #361=ADVANCED_FACE('',(#617),#477,.T.); #362=ADVANCED_FACE('',(#250,#251),#478,.T.); #363=ADVANCED_FACE('',(#618),#479,.F.); #364=ADVANCED_FACE('',(#619),#480,.F.); #365=ADVANCED_FACE('',(#620),#481,.F.); #366=ADVANCED_FACE('',(#621),#482,.T.); #367=ADVANCED_FACE('',(#622),#483,.T.); #368=ADVANCED_FACE('',(#623),#484,.T.); #369=ADVANCED_FACE('',(#624),#485,.F.); #370=ADVANCED_FACE('',(#625),#486,.T.); #371=ADVANCED_FACE('',(#626),#487,.F.); #372=ADVANCED_FACE('',(#627),#488,.T.); #373=ADVANCED_FACE('',(#628),#489,.F.); #374=ADVANCED_FACE('',(#629),#490,.F.); #375=ADVANCED_FACE('',(#630),#491,.T.); #376=ADVANCED_FACE('',(#631),#492,.T.); #377=ADVANCED_FACE('',(#632),#493,.T.); #378=ADVANCED_FACE('',(#633),#494,.T.); #379=ADVANCED_FACE('',(#634),#495,.T.); #380=ADVANCED_FACE('',(#635),#496,.F.); #381=ADVANCED_FACE('',(#636),#497,.T.); #382=ADVANCED_FACE('',(#637),#498,.T.); #383=ADVANCED_FACE('',(#638),#499,.T.); #384=ADVANCED_FACE('',(#639),#500,.T.); #385=ADVANCED_FACE('',(#640),#501,.T.); #386=ADVANCED_FACE('',(#641),#502,.T.); #387=ADVANCED_FACE('',(#642),#503,.T.); #388=ADVANCED_FACE('',(#643),#504,.T.); #389=ADVANCED_FACE('',(#644),#505,.F.); #390=ADVANCED_FACE('',(#645),#506,.F.); #391=ADVANCED_FACE('',(#646),#507,.T.); #392=ADVANCED_FACE('',(#647),#508,.F.); #393=ADVANCED_FACE('',(#648),#509,.F.); #394=ADVANCED_FACE('',(#649),#510,.F.); #395=ADVANCED_FACE('',(#650),#511,.F.); #396=ADVANCED_FACE('',(#651),#512,.T.); #397=ADVANCED_FACE('',(#652),#513,.F.); #398=ADVANCED_FACE('',(#653),#514,.F.); #399=ADVANCED_FACE('',(#654),#183,.F.); #400=ADVANCED_FACE('',(#655),#515,.F.); #401=ADVANCED_FACE('',(#656),#516,.T.); #402=ADVANCED_FACE('',(#657),#517,.F.); #403=ADVANCED_FACE('',(#658),#518,.F.); #404=ADVANCED_FACE('',(#659),#519,.T.); #405=ADVANCED_FACE('',(#660),#184,.T.); #406=ADVANCED_FACE('',(#661),#520,.F.); #407=ADVANCED_FACE('',(#662),#521,.F.); #408=ADVANCED_FACE('',(#663),#185,.F.); #409=ADVANCED_FACE('',(#664),#522,.T.); #410=ADVANCED_FACE('',(#665),#523,.F.); #411=ADVANCED_FACE('',(#666),#524,.T.); #412=ADVANCED_FACE('',(#667),#525,.F.); #413=ADVANCED_FACE('',(#668),#526,.F.); #414=ADVANCED_FACE('',(#669),#186,.T.); #415=ADVANCED_FACE('',(#670),#527,.T.); #416=ADVANCED_FACE('',(#671),#528,.T.); #417=ADVANCED_FACE('',(#672),#529,.T.); #418=ADVANCED_FACE('',(#673),#530,.T.); #419=ADVANCED_FACE('',(#674),#531,.F.); #420=ADVANCED_FACE('',(#675),#532,.T.); #421=ADVANCED_FACE('',(#252,#253),#533,.F.); #422=ADVANCED_FACE('',(#676),#534,.T.); #423=ADVANCED_FACE('',(#677),#535,.T.); #424=ADVANCED_FACE('',(#678),#536,.F.); #425=ADVANCED_FACE('',(#679),#537,.F.); #426=ADVANCED_FACE('',(#680),#538,.T.); #427=ADVANCED_FACE('',(#681),#539,.F.); #428=ADVANCED_FACE('',(#682),#540,.T.); #429=PLANE('',#3189); #430=PLANE('',#3192); #431=PLANE('',#3197); #432=PLANE('',#3202); #433=PLANE('',#3203); #434=PLANE('',#3207); #435=PLANE('',#3214); #436=PLANE('',#3222); #437=PLANE('',#3225); #438=PLANE('',#3228); #439=PLANE('',#3236); #440=PLANE('',#3257); #441=PLANE('',#3258); #442=PLANE('',#3266); #443=PLANE('',#3271); #444=PLANE('',#3273); #445=PLANE('',#3274); #446=PLANE('',#3275); #447=PLANE('',#3276); #448=PLANE('',#3277); #449=PLANE('',#3278); #450=PLANE('',#3279); #451=PLANE('',#3280); #452=PLANE('',#3281); #453=PLANE('',#3282); #454=PLANE('',#3288); #455=PLANE('',#3293); #456=PLANE('',#3297); #457=PLANE('',#3300); #458=PLANE('',#3305); #459=PLANE('',#3308); #460=PLANE('',#3314); #461=PLANE('',#3322); #462=PLANE('',#3324); #463=PLANE('',#3342); #464=PLANE('',#3346); #465=PLANE('',#3349); #466=PLANE('',#3353); #467=PLANE('',#3354); #468=PLANE('',#3357); #469=PLANE('',#3362); #470=PLANE('',#3364); #471=PLANE('',#3382); #472=PLANE('',#3386); #473=PLANE('',#3389); #474=PLANE('',#3393); #475=PLANE('',#3394); #476=PLANE('',#3397); #477=PLANE('',#3398); #478=PLANE('',#3399); #479=PLANE('',#3400); #480=PLANE('',#3401); #481=PLANE('',#3402); #482=PLANE('',#3403); #483=PLANE('',#3404); #484=PLANE('',#3405); #485=PLANE('',#3406); #486=PLANE('',#3407); #487=PLANE('',#3408); #488=PLANE('',#3409); #489=PLANE('',#3410); #490=PLANE('',#3411); #491=PLANE('',#3412); #492=PLANE('',#3413); #493=PLANE('',#3414); #494=PLANE('',#3415); #495=PLANE('',#3416); #496=PLANE('',#3417); #497=PLANE('',#3418); #498=PLANE('',#3419); #499=PLANE('',#3420); #500=PLANE('',#3421); #501=PLANE('',#3422); #502=PLANE('',#3423); #503=PLANE('',#3424); #504=PLANE('',#3425); #505=PLANE('',#3426); #506=PLANE('',#3427); #507=PLANE('',#3428); #508=PLANE('',#3429); #509=PLANE('',#3430); #510=PLANE('',#3431); #511=PLANE('',#3432); #512=PLANE('',#3433); #513=PLANE('',#3434); #514=PLANE('',#3435); #515=PLANE('',#3439); #516=PLANE('',#3440); #517=PLANE('',#3442); #518=PLANE('',#3443); #519=PLANE('',#3445); #520=PLANE('',#3447); #521=PLANE('',#3448); #522=PLANE('',#3452); #523=PLANE('',#3454); #524=PLANE('',#3456); #525=PLANE('',#3457); #526=PLANE('',#3458); #527=PLANE('',#3460); #528=PLANE('',#3461); #529=PLANE('',#3462); #530=PLANE('',#3463); #531=PLANE('',#3464); #532=PLANE('',#3465); #533=PLANE('',#3466); #534=PLANE('',#3467); #535=PLANE('',#3468); #536=PLANE('',#3469); #537=PLANE('',#3470); #538=PLANE('',#3471); #539=PLANE('',#3472); #540=PLANE('',#3473); #541=FACE_OUTER_BOUND('',#683,.T.); #542=FACE_OUTER_BOUND('',#693,.T.); #543=FACE_OUTER_BOUND('',#694,.T.); #544=FACE_OUTER_BOUND('',#695,.T.); #545=FACE_OUTER_BOUND('',#696,.T.); #546=FACE_OUTER_BOUND('',#697,.T.); #547=FACE_OUTER_BOUND('',#698,.T.); #548=FACE_OUTER_BOUND('',#699,.T.); #549=FACE_OUTER_BOUND('',#700,.T.); #550=FACE_OUTER_BOUND('',#701,.T.); #551=FACE_OUTER_BOUND('',#702,.T.); #552=FACE_OUTER_BOUND('',#703,.T.); #553=FACE_OUTER_BOUND('',#704,.T.); #554=FACE_OUTER_BOUND('',#705,.T.); #555=FACE_OUTER_BOUND('',#708,.T.); #556=FACE_OUTER_BOUND('',#709,.T.); #557=FACE_OUTER_BOUND('',#710,.T.); #558=FACE_OUTER_BOUND('',#711,.T.); #559=FACE_OUTER_BOUND('',#712,.T.); #560=FACE_OUTER_BOUND('',#713,.T.); #561=FACE_OUTER_BOUND('',#714,.T.); #562=FACE_OUTER_BOUND('',#715,.T.); #563=FACE_OUTER_BOUND('',#716,.T.); #564=FACE_OUTER_BOUND('',#717,.T.); #565=FACE_OUTER_BOUND('',#718,.T.); #566=FACE_OUTER_BOUND('',#721,.T.); #567=FACE_OUTER_BOUND('',#722,.T.); #568=FACE_OUTER_BOUND('',#723,.T.); #569=FACE_OUTER_BOUND('',#730,.T.); #570=FACE_OUTER_BOUND('',#733,.T.); #571=FACE_OUTER_BOUND('',#734,.T.); #572=FACE_OUTER_BOUND('',#735,.T.); #573=FACE_OUTER_BOUND('',#736,.T.); #574=FACE_OUTER_BOUND('',#737,.T.); #575=FACE_OUTER_BOUND('',#738,.T.); #576=FACE_OUTER_BOUND('',#739,.T.); #577=FACE_OUTER_BOUND('',#740,.T.); #578=FACE_OUTER_BOUND('',#741,.T.); #579=FACE_OUTER_BOUND('',#742,.T.); #580=FACE_OUTER_BOUND('',#751,.T.); #581=FACE_OUTER_BOUND('',#756,.T.); #582=FACE_OUTER_BOUND('',#757,.T.); #583=FACE_OUTER_BOUND('',#758,.T.); #584=FACE_OUTER_BOUND('',#759,.T.); #585=FACE_OUTER_BOUND('',#760,.T.); #586=FACE_OUTER_BOUND('',#761,.T.); #587=FACE_OUTER_BOUND('',#762,.T.); #588=FACE_OUTER_BOUND('',#763,.T.); #589=FACE_OUTER_BOUND('',#764,.T.); #590=FACE_OUTER_BOUND('',#767,.T.); #591=FACE_OUTER_BOUND('',#776,.T.); #592=FACE_OUTER_BOUND('',#777,.T.); #593=FACE_OUTER_BOUND('',#778,.T.); #594=FACE_OUTER_BOUND('',#781,.T.); #595=FACE_OUTER_BOUND('',#782,.T.); #596=FACE_OUTER_BOUND('',#783,.T.); #597=FACE_OUTER_BOUND('',#786,.T.); #598=FACE_OUTER_BOUND('',#787,.T.); #599=FACE_OUTER_BOUND('',#788,.T.); #600=FACE_OUTER_BOUND('',#791,.T.); #601=FACE_OUTER_BOUND('',#792,.T.); #602=FACE_OUTER_BOUND('',#793,.T.); #603=FACE_OUTER_BOUND('',#794,.T.); #604=FACE_OUTER_BOUND('',#803,.T.); #605=FACE_OUTER_BOUND('',#804,.T.); #606=FACE_OUTER_BOUND('',#805,.T.); #607=FACE_OUTER_BOUND('',#808,.T.); #608=FACE_OUTER_BOUND('',#809,.T.); #609=FACE_OUTER_BOUND('',#810,.T.); #610=FACE_OUTER_BOUND('',#813,.T.); #611=FACE_OUTER_BOUND('',#814,.T.); #612=FACE_OUTER_BOUND('',#815,.T.); #613=FACE_OUTER_BOUND('',#818,.T.); #614=FACE_OUTER_BOUND('',#819,.T.); #615=FACE_OUTER_BOUND('',#820,.T.); #616=FACE_OUTER_BOUND('',#821,.T.); #617=FACE_OUTER_BOUND('',#822,.T.); #618=FACE_OUTER_BOUND('',#825,.T.); #619=FACE_OUTER_BOUND('',#826,.T.); #620=FACE_OUTER_BOUND('',#827,.T.); #621=FACE_OUTER_BOUND('',#828,.T.); #622=FACE_OUTER_BOUND('',#829,.T.); #623=FACE_OUTER_BOUND('',#830,.T.); #624=FACE_OUTER_BOUND('',#831,.T.); #625=FACE_OUTER_BOUND('',#832,.T.); #626=FACE_OUTER_BOUND('',#833,.F.); #627=FACE_OUTER_BOUND('',#834,.F.); #628=FACE_OUTER_BOUND('',#835,.F.); #629=FACE_OUTER_BOUND('',#836,.F.); #630=FACE_OUTER_BOUND('',#837,.F.); #631=FACE_OUTER_BOUND('',#838,.F.); #632=FACE_OUTER_BOUND('',#839,.F.); #633=FACE_OUTER_BOUND('',#840,.F.); #634=FACE_OUTER_BOUND('',#841,.F.); #635=FACE_OUTER_BOUND('',#842,.F.); #636=FACE_OUTER_BOUND('',#843,.F.); #637=FACE_OUTER_BOUND('',#844,.F.); #638=FACE_OUTER_BOUND('',#845,.F.); #639=FACE_OUTER_BOUND('',#846,.F.); #640=FACE_OUTER_BOUND('',#847,.F.); #641=FACE_OUTER_BOUND('',#848,.F.); #642=FACE_OUTER_BOUND('',#849,.F.); #643=FACE_OUTER_BOUND('',#850,.F.); #644=FACE_OUTER_BOUND('',#851,.F.); #645=FACE_OUTER_BOUND('',#852,.F.); #646=FACE_OUTER_BOUND('',#853,.F.); #647=FACE_OUTER_BOUND('',#854,.F.); #648=FACE_OUTER_BOUND('',#855,.F.); #649=FACE_OUTER_BOUND('',#856,.F.); #650=FACE_OUTER_BOUND('',#857,.F.); #651=FACE_OUTER_BOUND('',#858,.F.); #652=FACE_OUTER_BOUND('',#859,.F.); #653=FACE_OUTER_BOUND('',#860,.F.); #654=FACE_OUTER_BOUND('',#861,.F.); #655=FACE_OUTER_BOUND('',#862,.F.); #656=FACE_OUTER_BOUND('',#863,.F.); #657=FACE_OUTER_BOUND('',#864,.F.); #658=FACE_OUTER_BOUND('',#865,.F.); #659=FACE_OUTER_BOUND('',#866,.F.); #660=FACE_OUTER_BOUND('',#867,.F.); #661=FACE_OUTER_BOUND('',#868,.F.); #662=FACE_OUTER_BOUND('',#869,.F.); #663=FACE_OUTER_BOUND('',#870,.F.); #664=FACE_OUTER_BOUND('',#871,.F.); #665=FACE_OUTER_BOUND('',#872,.F.); #666=FACE_OUTER_BOUND('',#873,.F.); #667=FACE_OUTER_BOUND('',#874,.F.); #668=FACE_OUTER_BOUND('',#875,.F.); #669=FACE_OUTER_BOUND('',#876,.F.); #670=FACE_OUTER_BOUND('',#877,.F.); #671=FACE_OUTER_BOUND('',#878,.F.); #672=FACE_OUTER_BOUND('',#879,.F.); #673=FACE_OUTER_BOUND('',#880,.F.); #674=FACE_OUTER_BOUND('',#881,.F.); #675=FACE_OUTER_BOUND('',#882,.F.); #676=FACE_OUTER_BOUND('',#885,.F.); #677=FACE_OUTER_BOUND('',#886,.F.); #678=FACE_OUTER_BOUND('',#887,.F.); #679=FACE_OUTER_BOUND('',#888,.F.); #680=FACE_OUTER_BOUND('',#889,.F.); #681=FACE_OUTER_BOUND('',#890,.F.); #682=FACE_OUTER_BOUND('',#891,.F.); #683=EDGE_LOOP('',(#1011,#1012,#1013,#1014,#1015,#1016,#1017,#1018,#1019, #1020,#1021,#1022)); #684=EDGE_LOOP('',(#1023)); #685=EDGE_LOOP('',(#1024)); #686=EDGE_LOOP('',(#1025,#1026,#1027,#1028)); #687=EDGE_LOOP('',(#1029,#1030,#1031,#1032,#1033,#1034,#1035,#1036)); #688=EDGE_LOOP('',(#1037,#1038,#1039,#1040)); #689=EDGE_LOOP('',(#1041,#1042,#1043,#1044)); #690=EDGE_LOOP('',(#1045,#1046,#1047,#1048,#1049,#1050,#1051,#1052)); #691=EDGE_LOOP('',(#1053)); #692=EDGE_LOOP('',(#1054,#1055,#1056,#1057,#1058,#1059,#1060,#1061)); #693=EDGE_LOOP('',(#1062,#1063,#1064,#1065)); #694=EDGE_LOOP('',(#1066,#1067,#1068,#1069)); #695=EDGE_LOOP('',(#1070,#1071,#1072,#1073)); #696=EDGE_LOOP('',(#1074,#1075,#1076,#1077)); #697=EDGE_LOOP('',(#1078,#1079,#1080,#1081)); #698=EDGE_LOOP('',(#1082,#1083,#1084,#1085)); #699=EDGE_LOOP('',(#1086,#1087,#1088,#1089)); #700=EDGE_LOOP('',(#1090,#1091,#1092,#1093,#1094,#1095,#1096,#1097,#1098, #1099,#1100)); #701=EDGE_LOOP('',(#1101,#1102,#1103,#1104,#1105,#1106,#1107,#1108,#1109, #1110,#1111)); #702=EDGE_LOOP('',(#1112,#1113,#1114,#1115)); #703=EDGE_LOOP('',(#1116,#1117,#1118,#1119)); #704=EDGE_LOOP('',(#1120,#1121,#1122,#1123)); #705=EDGE_LOOP('',(#1124,#1125,#1126,#1127)); #706=EDGE_LOOP('',(#1128)); #707=EDGE_LOOP('',(#1129,#1130,#1131,#1132)); #708=EDGE_LOOP('',(#1133,#1134,#1135,#1136)); #709=EDGE_LOOP('',(#1137,#1138,#1139,#1140)); #710=EDGE_LOOP('',(#1141,#1142,#1143,#1144)); #711=EDGE_LOOP('',(#1145,#1146,#1147,#1148)); #712=EDGE_LOOP('',(#1149,#1150,#1151,#1152)); #713=EDGE_LOOP('',(#1153,#1154,#1155,#1156)); #714=EDGE_LOOP('',(#1157,#1158,#1159,#1160)); #715=EDGE_LOOP('',(#1161,#1162,#1163,#1164)); #716=EDGE_LOOP('',(#1165,#1166,#1167,#1168)); #717=EDGE_LOOP('',(#1169,#1170,#1171,#1172)); #718=EDGE_LOOP('',(#1173,#1174,#1175,#1176,#1177,#1178,#1179,#1180,#1181, #1182,#1183,#1184)); #719=EDGE_LOOP('',(#1185,#1186,#1187,#1188,#1189,#1190,#1191,#1192)); #720=EDGE_LOOP('',(#1193,#1194,#1195,#1196)); #721=EDGE_LOOP('',(#1197,#1198,#1199)); #722=EDGE_LOOP('',(#1200,#1201,#1202)); #723=EDGE_LOOP('',(#1203,#1204,#1205,#1206)); #724=EDGE_LOOP('',(#1207)); #725=EDGE_LOOP('',(#1208)); #726=EDGE_LOOP('',(#1209)); #727=EDGE_LOOP('',(#1210)); #728=EDGE_LOOP('',(#1211)); #729=EDGE_LOOP('',(#1212)); #730=EDGE_LOOP('',(#1213)); #731=EDGE_LOOP('',(#1214)); #732=EDGE_LOOP('',(#1215)); #733=EDGE_LOOP('',(#1216,#1217,#1218,#1219)); #734=EDGE_LOOP('',(#1220,#1221,#1222,#1223)); #735=EDGE_LOOP('',(#1224,#1225,#1226,#1227)); #736=EDGE_LOOP('',(#1228,#1229,#1230,#1231)); #737=EDGE_LOOP('',(#1232,#1233,#1234,#1235)); #738=EDGE_LOOP('',(#1236,#1237,#1238,#1239)); #739=EDGE_LOOP('',(#1240,#1241,#1242,#1243)); #740=EDGE_LOOP('',(#1244,#1245,#1246,#1247)); #741=EDGE_LOOP('',(#1248,#1249,#1250,#1251)); #742=EDGE_LOOP('',(#1252,#1253,#1254,#1255)); #743=EDGE_LOOP('',(#1256)); #744=EDGE_LOOP('',(#1257)); #745=EDGE_LOOP('',(#1258)); #746=EDGE_LOOP('',(#1259)); #747=EDGE_LOOP('',(#1260)); #748=EDGE_LOOP('',(#1261)); #749=EDGE_LOOP('',(#1262)); #750=EDGE_LOOP('',(#1263)); #751=EDGE_LOOP('',(#1264)); #752=EDGE_LOOP('',(#1265)); #753=EDGE_LOOP('',(#1266)); #754=EDGE_LOOP('',(#1267)); #755=EDGE_LOOP('',(#1268)); #756=EDGE_LOOP('',(#1269,#1270,#1271,#1272,#1273,#1274,#1275,#1276,#1277, #1278,#1279,#1280,#1281,#1282)); #757=EDGE_LOOP('',(#1283,#1284,#1285,#1286)); #758=EDGE_LOOP('',(#1287,#1288,#1289,#1290)); #759=EDGE_LOOP('',(#1291,#1292,#1293,#1294)); #760=EDGE_LOOP('',(#1295,#1296,#1297,#1298,#1299,#1300,#1301,#1302,#1303, #1304,#1305,#1306,#1307,#1308)); #761=EDGE_LOOP('',(#1309,#1310,#1311,#1312)); #762=EDGE_LOOP('',(#1313,#1314,#1315,#1316)); #763=EDGE_LOOP('',(#1317,#1318,#1319,#1320)); #764=EDGE_LOOP('',(#1321,#1322,#1323,#1324)); #765=EDGE_LOOP('',(#1325,#1326,#1327,#1328)); #766=EDGE_LOOP('',(#1329,#1330,#1331,#1332)); #767=EDGE_LOOP('',(#1333,#1334,#1335,#1336)); #768=EDGE_LOOP('',(#1337)); #769=EDGE_LOOP('',(#1338)); #770=EDGE_LOOP('',(#1339)); #771=EDGE_LOOP('',(#1340)); #772=EDGE_LOOP('',(#1341)); #773=EDGE_LOOP('',(#1342)); #774=EDGE_LOOP('',(#1343)); #775=EDGE_LOOP('',(#1344)); #776=EDGE_LOOP('',(#1345,#1346,#1347,#1348)); #777=EDGE_LOOP('',(#1349,#1350,#1351,#1352)); #778=EDGE_LOOP('',(#1353,#1354,#1355,#1356)); #779=EDGE_LOOP('',(#1357)); #780=EDGE_LOOP('',(#1358)); #781=EDGE_LOOP('',(#1359,#1360,#1361,#1362)); #782=EDGE_LOOP('',(#1363,#1364,#1365,#1366)); #783=EDGE_LOOP('',(#1367,#1368,#1369,#1370)); #784=EDGE_LOOP('',(#1371)); #785=EDGE_LOOP('',(#1372,#1373,#1374,#1375,#1376,#1377)); #786=EDGE_LOOP('',(#1378,#1379,#1380,#1381)); #787=EDGE_LOOP('',(#1382,#1383,#1384,#1385)); #788=EDGE_LOOP('',(#1386,#1387,#1388,#1389)); #789=EDGE_LOOP('',(#1390)); #790=EDGE_LOOP('',(#1391,#1392,#1393,#1394,#1395,#1396)); #791=EDGE_LOOP('',(#1397,#1398,#1399,#1400,#1401,#1402,#1403,#1404,#1405, #1406,#1407,#1408)); #792=EDGE_LOOP('',(#1409,#1410,#1411,#1412)); #793=EDGE_LOOP('',(#1413,#1414,#1415,#1416)); #794=EDGE_LOOP('',(#1417,#1418,#1419,#1420,#1421,#1422,#1423,#1424,#1425, #1426,#1427,#1428)); #795=EDGE_LOOP('',(#1429)); #796=EDGE_LOOP('',(#1430)); #797=EDGE_LOOP('',(#1431)); #798=EDGE_LOOP('',(#1432)); #799=EDGE_LOOP('',(#1433)); #800=EDGE_LOOP('',(#1434)); #801=EDGE_LOOP('',(#1435)); #802=EDGE_LOOP('',(#1436)); #803=EDGE_LOOP('',(#1437,#1438,#1439,#1440)); #804=EDGE_LOOP('',(#1441,#1442,#1443,#1444)); #805=EDGE_LOOP('',(#1445,#1446,#1447,#1448)); #806=EDGE_LOOP('',(#1449)); #807=EDGE_LOOP('',(#1450)); #808=EDGE_LOOP('',(#1451,#1452,#1453,#1454)); #809=EDGE_LOOP('',(#1455,#1456,#1457,#1458)); #810=EDGE_LOOP('',(#1459,#1460,#1461,#1462)); #811=EDGE_LOOP('',(#1463)); #812=EDGE_LOOP('',(#1464,#1465,#1466,#1467,#1468,#1469)); #813=EDGE_LOOP('',(#1470,#1471,#1472,#1473)); #814=EDGE_LOOP('',(#1474,#1475,#1476,#1477)); #815=EDGE_LOOP('',(#1478,#1479,#1480,#1481)); #816=EDGE_LOOP('',(#1482)); #817=EDGE_LOOP('',(#1483,#1484,#1485,#1486,#1487,#1488)); #818=EDGE_LOOP('',(#1489,#1490,#1491,#1492,#1493,#1494,#1495,#1496,#1497, #1498,#1499,#1500)); #819=EDGE_LOOP('',(#1501,#1502,#1503,#1504)); #820=EDGE_LOOP('',(#1505,#1506,#1507,#1508)); #821=EDGE_LOOP('',(#1509,#1510,#1511,#1512,#1513,#1514,#1515,#1516,#1517, #1518,#1519,#1520)); #822=EDGE_LOOP('',(#1521,#1522,#1523,#1524)); #823=EDGE_LOOP('',(#1525,#1526,#1527,#1528)); #824=EDGE_LOOP('',(#1529,#1530,#1531,#1532)); #825=EDGE_LOOP('',(#1533,#1534,#1535,#1536)); #826=EDGE_LOOP('',(#1537,#1538,#1539,#1540)); #827=EDGE_LOOP('',(#1541,#1542,#1543,#1544)); #828=EDGE_LOOP('',(#1545,#1546,#1547,#1548)); #829=EDGE_LOOP('',(#1549,#1550,#1551,#1552)); #830=EDGE_LOOP('',(#1553,#1554,#1555,#1556)); #831=EDGE_LOOP('',(#1557,#1558,#1559,#1560)); #832=EDGE_LOOP('',(#1561,#1562,#1563,#1564)); #833=EDGE_LOOP('',(#1565,#1566,#1567,#1568)); #834=EDGE_LOOP('',(#1569,#1570,#1571,#1572)); #835=EDGE_LOOP('',(#1573,#1574,#1575,#1576)); #836=EDGE_LOOP('',(#1577,#1578,#1579,#1580)); #837=EDGE_LOOP('',(#1581,#1582,#1583,#1584,#1585,#1586,#1587,#1588)); #838=EDGE_LOOP('',(#1589,#1590,#1591,#1592,#1593,#1594,#1595,#1596)); #839=EDGE_LOOP('',(#1597,#1598,#1599,#1600,#1601,#1602,#1603,#1604)); #840=EDGE_LOOP('',(#1605,#1606,#1607,#1608)); #841=EDGE_LOOP('',(#1609,#1610,#1611,#1612)); #842=EDGE_LOOP('',(#1613,#1614,#1615,#1616,#1617,#1618)); #843=EDGE_LOOP('',(#1619,#1620,#1621,#1622,#1623,#1624,#1625,#1626)); #844=EDGE_LOOP('',(#1627,#1628,#1629,#1630,#1631,#1632,#1633,#1634)); #845=EDGE_LOOP('',(#1635,#1636,#1637,#1638,#1639,#1640,#1641,#1642)); #846=EDGE_LOOP('',(#1643,#1644,#1645,#1646,#1647,#1648)); #847=EDGE_LOOP('',(#1649,#1650,#1651,#1652,#1653,#1654)); #848=EDGE_LOOP('',(#1655,#1656,#1657,#1658,#1659,#1660,#1661,#1662)); #849=EDGE_LOOP('',(#1663,#1664,#1665,#1666,#1667,#1668)); #850=EDGE_LOOP('',(#1669,#1670,#1671,#1672,#1673,#1674)); #851=EDGE_LOOP('',(#1675,#1676,#1677,#1678)); #852=EDGE_LOOP('',(#1679,#1680,#1681,#1682)); #853=EDGE_LOOP('',(#1683,#1684,#1685,#1686)); #854=EDGE_LOOP('',(#1687,#1688,#1689,#1690,#1691)); #855=EDGE_LOOP('',(#1692,#1693,#1694,#1695,#1696)); #856=EDGE_LOOP('',(#1697,#1698,#1699,#1700,#1701,#1702)); #857=EDGE_LOOP('',(#1703,#1704,#1705,#1706,#1707,#1708)); #858=EDGE_LOOP('',(#1709,#1710,#1711,#1712)); #859=EDGE_LOOP('',(#1713,#1714,#1715,#1716)); #860=EDGE_LOOP('',(#1717,#1718,#1719,#1720)); #861=EDGE_LOOP('',(#1721,#1722,#1723,#1724)); #862=EDGE_LOOP('',(#1725,#1726,#1727,#1728)); #863=EDGE_LOOP('',(#1729,#1730,#1731,#1732)); #864=EDGE_LOOP('',(#1733,#1734,#1735,#1736,#1737,#1738)); #865=EDGE_LOOP('',(#1739,#1740,#1741,#1742)); #866=EDGE_LOOP('',(#1743,#1744,#1745,#1746,#1747,#1748)); #867=EDGE_LOOP('',(#1749,#1750,#1751,#1752)); #868=EDGE_LOOP('',(#1753,#1754,#1755,#1756)); #869=EDGE_LOOP('',(#1757,#1758,#1759,#1760)); #870=EDGE_LOOP('',(#1761,#1762,#1763,#1764)); #871=EDGE_LOOP('',(#1765,#1766,#1767,#1768)); #872=EDGE_LOOP('',(#1769,#1770,#1771,#1772,#1773,#1774)); #873=EDGE_LOOP('',(#1775,#1776,#1777,#1778,#1779,#1780)); #874=EDGE_LOOP('',(#1781,#1782,#1783,#1784)); #875=EDGE_LOOP('',(#1785,#1786,#1787,#1788)); #876=EDGE_LOOP('',(#1789,#1790,#1791,#1792)); #877=EDGE_LOOP('',(#1793,#1794,#1795,#1796)); #878=EDGE_LOOP('',(#1797,#1798,#1799,#1800)); #879=EDGE_LOOP('',(#1801,#1802,#1803,#1804)); #880=EDGE_LOOP('',(#1805,#1806,#1807,#1808)); #881=EDGE_LOOP('',(#1809,#1810,#1811,#1812)); #882=EDGE_LOOP('',(#1813,#1814,#1815,#1816,#1817,#1818,#1819,#1820)); #883=EDGE_LOOP('',(#1821,#1822,#1823,#1824)); #884=EDGE_LOOP('',(#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832)); #885=EDGE_LOOP('',(#1833,#1834,#1835,#1836)); #886=EDGE_LOOP('',(#1837,#1838,#1839,#1840)); #887=EDGE_LOOP('',(#1841,#1842,#1843,#1844)); #888=EDGE_LOOP('',(#1845,#1846,#1847,#1848,#1849,#1850)); #889=EDGE_LOOP('',(#1851,#1852,#1853,#1854)); #890=EDGE_LOOP('',(#1855,#1856,#1857,#1858,#1859,#1860,#1861,#1862)); #891=EDGE_LOOP('',(#1863,#1864,#1865,#1866)); #892=CIRCLE('',#3185,0.29); #893=CIRCLE('',#3186,0.29); #894=CIRCLE('',#3187,0.3); #895=CIRCLE('',#3188,0.3); #896=CIRCLE('',#3190,1.9); #897=CIRCLE('',#3191,2.2); #898=CIRCLE('',#3193,1.); #899=CIRCLE('',#3194,1.); #900=CIRCLE('',#3195,1.); #901=CIRCLE('',#3196,1.); #902=CIRCLE('',#3198,0.5); #903=CIRCLE('',#3199,0.5); #904=CIRCLE('',#3200,0.5); #905=CIRCLE('',#3201,0.5); #906=CIRCLE('',#3204,4.2); #907=CIRCLE('',#3205,4.2); #908=CIRCLE('',#3208,4.2); #909=CIRCLE('',#3209,4.2); #910=CIRCLE('',#3211,4.2); #911=CIRCLE('',#3212,4.2); #912=CIRCLE('',#3215,4.2); #913=CIRCLE('',#3216,4.2); #914=CIRCLE('',#3218,0.3); #915=CIRCLE('',#3220,0.2); #916=CIRCLE('',#3221,0.2); #917=CIRCLE('',#3223,0.2); #918=CIRCLE('',#3224,0.2); #919=CIRCLE('',#3226,0.3); #920=CIRCLE('',#3229,0.3); #921=CIRCLE('',#3230,0.3); #922=CIRCLE('',#3232,0.3); #923=CIRCLE('',#3233,0.3); #924=CIRCLE('',#3235,4.05616677001756); #925=CIRCLE('',#3237,0.5); #926=CIRCLE('',#3238,0.5); #927=CIRCLE('',#3240,0.5); #928=CIRCLE('',#3241,0.5); #929=CIRCLE('',#3243,0.3); #930=CIRCLE('',#3244,0.3); #931=CIRCLE('',#3246,0.3); #932=CIRCLE('',#3247,0.3); #933=CIRCLE('',#3249,0.3); #934=CIRCLE('',#3250,0.3); #935=CIRCLE('',#3252,0.3); #936=CIRCLE('',#3253,0.3); #937=CIRCLE('',#3255,0.29); #938=CIRCLE('',#3256,0.29); #939=CIRCLE('',#3259,0.3); #940=CIRCLE('',#3261,0.3); #941=CIRCLE('',#3264,2.96791111376205); #942=CIRCLE('',#3265,1.95); #943=CIRCLE('',#3267,3.53544850134624); #944=CIRCLE('',#3270,1.95); #945=CIRCLE('',#3283,0.375); #946=CIRCLE('',#3284,0.375); #947=CIRCLE('',#3286,0.575); #948=CIRCLE('',#3287,1.6); #949=CIRCLE('',#3289,1.9); #950=CIRCLE('',#3292,0.175); #951=CIRCLE('',#3295,2.8); #952=CIRCLE('',#3296,2.8); #953=CIRCLE('',#3298,0.29); #954=CIRCLE('',#3301,0.29); #955=CIRCLE('',#3303,2.8); #956=CIRCLE('',#3304,2.8); #957=CIRCLE('',#3306,0.29); #958=CIRCLE('',#3309,0.29); #959=CIRCLE('',#3311,0.29); #960=CIRCLE('',#3312,0.29); #961=CIRCLE('',#3315,0.29); #962=CIRCLE('',#3316,0.29); #963=CIRCLE('',#3318,1.25); #964=CIRCLE('',#3319,1.25); #965=CIRCLE('',#3321,2.45); #966=CIRCLE('',#3323,2.45); #967=CIRCLE('',#3325,2.65); #968=CIRCLE('',#3327,0.3); #969=CIRCLE('',#3328,2.2); #970=CIRCLE('',#3329,0.3); #971=CIRCLE('',#3330,2.5); #972=CIRCLE('',#3332,2.5); #973=CIRCLE('',#3334,0.3); #974=CIRCLE('',#3335,2.2); #975=CIRCLE('',#3336,0.3); #976=CIRCLE('',#3338,2.65); #977=CIRCLE('',#3340,0.3); #978=CIRCLE('',#3343,0.3); #979=CIRCLE('',#3345,2.8); #980=CIRCLE('',#3347,0.3); #981=CIRCLE('',#3350,0.3); #982=CIRCLE('',#3352,2.8); #983=CIRCLE('',#3358,1.25); #984=CIRCLE('',#3359,1.25); #985=CIRCLE('',#3361,2.45); #986=CIRCLE('',#3363,2.45); #987=CIRCLE('',#3365,2.65); #988=CIRCLE('',#3367,0.3); #989=CIRCLE('',#3368,2.2); #990=CIRCLE('',#3369,0.3); #991=CIRCLE('',#3370,2.5); #992=CIRCLE('',#3372,2.5); #993=CIRCLE('',#3374,0.3); #994=CIRCLE('',#3375,0.3); #995=CIRCLE('',#3376,2.2); #996=CIRCLE('',#3378,2.65); #997=CIRCLE('',#3380,0.3); #998=CIRCLE('',#3383,0.3); #999=CIRCLE('',#3385,2.8); #1000=CIRCLE('',#3387,0.3); #1001=CIRCLE('',#3390,0.3); #1002=CIRCLE('',#3392,2.8); #1003=CIRCLE('',#3436,3.); #1004=CIRCLE('',#3437,3.); #1005=CIRCLE('',#3441,3.); #1006=CIRCLE('',#3444,3.); #1007=CIRCLE('',#3449,3.); #1008=CIRCLE('',#3450,3.); #1009=CIRCLE('',#3453,3.); #1010=CIRCLE('',#3455,3.); #1011=ORIENTED_EDGE('',*,*,#2160,.F.); #1012=ORIENTED_EDGE('',*,*,#2161,.T.); #1013=ORIENTED_EDGE('',*,*,#2162,.T.); #1014=ORIENTED_EDGE('',*,*,#2163,.T.); #1015=ORIENTED_EDGE('',*,*,#2164,.T.); #1016=ORIENTED_EDGE('',*,*,#2165,.F.); #1017=ORIENTED_EDGE('',*,*,#2166,.F.); #1018=ORIENTED_EDGE('',*,*,#2167,.T.); #1019=ORIENTED_EDGE('',*,*,#2168,.T.); #1020=ORIENTED_EDGE('',*,*,#2169,.F.); #1021=ORIENTED_EDGE('',*,*,#2170,.T.); #1022=ORIENTED_EDGE('',*,*,#2171,.F.); #1023=ORIENTED_EDGE('',*,*,#2172,.F.); #1024=ORIENTED_EDGE('',*,*,#2173,.T.); #1025=ORIENTED_EDGE('',*,*,#2174,.T.); #1026=ORIENTED_EDGE('',*,*,#2175,.T.); #1027=ORIENTED_EDGE('',*,*,#2176,.T.); #1028=ORIENTED_EDGE('',*,*,#2177,.T.); #1029=ORIENTED_EDGE('',*,*,#2178,.F.); #1030=ORIENTED_EDGE('',*,*,#2179,.F.); #1031=ORIENTED_EDGE('',*,*,#2180,.F.); #1032=ORIENTED_EDGE('',*,*,#2181,.F.); #1033=ORIENTED_EDGE('',*,*,#2182,.F.); #1034=ORIENTED_EDGE('',*,*,#2183,.F.); #1035=ORIENTED_EDGE('',*,*,#2184,.F.); #1036=ORIENTED_EDGE('',*,*,#2185,.F.); #1037=ORIENTED_EDGE('',*,*,#2186,.F.); #1038=ORIENTED_EDGE('',*,*,#2187,.T.); #1039=ORIENTED_EDGE('',*,*,#2188,.T.); #1040=ORIENTED_EDGE('',*,*,#2189,.T.); #1041=ORIENTED_EDGE('',*,*,#2190,.T.); #1042=ORIENTED_EDGE('',*,*,#2191,.T.); #1043=ORIENTED_EDGE('',*,*,#2192,.T.); #1044=ORIENTED_EDGE('',*,*,#2193,.F.); #1045=ORIENTED_EDGE('',*,*,#2194,.T.); #1046=ORIENTED_EDGE('',*,*,#2195,.T.); #1047=ORIENTED_EDGE('',*,*,#2196,.T.); #1048=ORIENTED_EDGE('',*,*,#2197,.T.); #1049=ORIENTED_EDGE('',*,*,#2198,.T.); #1050=ORIENTED_EDGE('',*,*,#2199,.T.); #1051=ORIENTED_EDGE('',*,*,#2200,.T.); #1052=ORIENTED_EDGE('',*,*,#2201,.T.); #1053=ORIENTED_EDGE('',*,*,#2173,.F.); #1054=ORIENTED_EDGE('',*,*,#2179,.T.); #1055=ORIENTED_EDGE('',*,*,#2178,.T.); #1056=ORIENTED_EDGE('',*,*,#2185,.T.); #1057=ORIENTED_EDGE('',*,*,#2184,.T.); #1058=ORIENTED_EDGE('',*,*,#2183,.T.); #1059=ORIENTED_EDGE('',*,*,#2182,.T.); #1060=ORIENTED_EDGE('',*,*,#2181,.T.); #1061=ORIENTED_EDGE('',*,*,#2180,.T.); #1062=ORIENTED_EDGE('',*,*,#2202,.F.); #1063=ORIENTED_EDGE('',*,*,#2203,.F.); #1064=ORIENTED_EDGE('',*,*,#2204,.T.); #1065=ORIENTED_EDGE('',*,*,#2205,.T.); #1066=ORIENTED_EDGE('',*,*,#2206,.F.); #1067=ORIENTED_EDGE('',*,*,#2205,.F.); #1068=ORIENTED_EDGE('',*,*,#2207,.T.); #1069=ORIENTED_EDGE('',*,*,#2208,.T.); #1070=ORIENTED_EDGE('',*,*,#2209,.F.); #1071=ORIENTED_EDGE('',*,*,#2208,.F.); #1072=ORIENTED_EDGE('',*,*,#2210,.T.); #1073=ORIENTED_EDGE('',*,*,#2166,.T.); #1074=ORIENTED_EDGE('',*,*,#2211,.F.); #1075=ORIENTED_EDGE('',*,*,#2160,.T.); #1076=ORIENTED_EDGE('',*,*,#2212,.T.); #1077=ORIENTED_EDGE('',*,*,#2213,.F.); #1078=ORIENTED_EDGE('',*,*,#2214,.F.); #1079=ORIENTED_EDGE('',*,*,#2213,.T.); #1080=ORIENTED_EDGE('',*,*,#2215,.T.); #1081=ORIENTED_EDGE('',*,*,#2216,.F.); #1082=ORIENTED_EDGE('',*,*,#2217,.F.); #1083=ORIENTED_EDGE('',*,*,#2216,.T.); #1084=ORIENTED_EDGE('',*,*,#2218,.T.); #1085=ORIENTED_EDGE('',*,*,#2219,.F.); #1086=ORIENTED_EDGE('',*,*,#2220,.F.); #1087=ORIENTED_EDGE('',*,*,#2221,.T.); #1088=ORIENTED_EDGE('',*,*,#2222,.T.); #1089=ORIENTED_EDGE('',*,*,#2170,.F.); #1090=ORIENTED_EDGE('',*,*,#2223,.F.); #1091=ORIENTED_EDGE('',*,*,#2224,.T.); #1092=ORIENTED_EDGE('',*,*,#2225,.T.); #1093=ORIENTED_EDGE('',*,*,#2218,.F.); #1094=ORIENTED_EDGE('',*,*,#2215,.F.); #1095=ORIENTED_EDGE('',*,*,#2212,.F.); #1096=ORIENTED_EDGE('',*,*,#2171,.T.); #1097=ORIENTED_EDGE('',*,*,#2222,.F.); #1098=ORIENTED_EDGE('',*,*,#2226,.F.); #1099=ORIENTED_EDGE('',*,*,#2227,.F.); #1100=ORIENTED_EDGE('',*,*,#2228,.F.); #1101=ORIENTED_EDGE('',*,*,#2204,.F.); #1102=ORIENTED_EDGE('',*,*,#2229,.F.); #1103=ORIENTED_EDGE('',*,*,#2230,.T.); #1104=ORIENTED_EDGE('',*,*,#2231,.T.); #1105=ORIENTED_EDGE('',*,*,#2232,.F.); #1106=ORIENTED_EDGE('',*,*,#2233,.T.); #1107=ORIENTED_EDGE('',*,*,#2234,.F.); #1108=ORIENTED_EDGE('',*,*,#2235,.T.); #1109=ORIENTED_EDGE('',*,*,#2167,.F.); #1110=ORIENTED_EDGE('',*,*,#2210,.F.); #1111=ORIENTED_EDGE('',*,*,#2207,.F.); #1112=ORIENTED_EDGE('',*,*,#2235,.F.); #1113=ORIENTED_EDGE('',*,*,#2236,.T.); #1114=ORIENTED_EDGE('',*,*,#2237,.F.); #1115=ORIENTED_EDGE('',*,*,#2168,.F.); #1116=ORIENTED_EDGE('',*,*,#2169,.T.); #1117=ORIENTED_EDGE('',*,*,#2237,.T.); #1118=ORIENTED_EDGE('',*,*,#2238,.T.); #1119=ORIENTED_EDGE('',*,*,#2220,.T.); #1120=ORIENTED_EDGE('',*,*,#2176,.F.); #1121=ORIENTED_EDGE('',*,*,#2239,.T.); #1122=ORIENTED_EDGE('',*,*,#2223,.T.); #1123=ORIENTED_EDGE('',*,*,#2240,.T.); #1124=ORIENTED_EDGE('',*,*,#2231,.F.); #1125=ORIENTED_EDGE('',*,*,#2241,.T.); #1126=ORIENTED_EDGE('',*,*,#2174,.F.); #1127=ORIENTED_EDGE('',*,*,#2242,.T.); #1128=ORIENTED_EDGE('',*,*,#2243,.T.); #1129=ORIENTED_EDGE('',*,*,#2244,.T.); #1130=ORIENTED_EDGE('',*,*,#2245,.T.); #1131=ORIENTED_EDGE('',*,*,#2246,.T.); #1132=ORIENTED_EDGE('',*,*,#2247,.T.); #1133=ORIENTED_EDGE('',*,*,#2177,.F.); #1134=ORIENTED_EDGE('',*,*,#2248,.T.); #1135=ORIENTED_EDGE('',*,*,#2246,.F.); #1136=ORIENTED_EDGE('',*,*,#2249,.T.); #1137=ORIENTED_EDGE('',*,*,#2250,.T.); #1138=ORIENTED_EDGE('',*,*,#2238,.F.); #1139=ORIENTED_EDGE('',*,*,#2251,.T.); #1140=ORIENTED_EDGE('',*,*,#2244,.F.); #1141=ORIENTED_EDGE('',*,*,#2236,.F.); #1142=ORIENTED_EDGE('',*,*,#2234,.T.); #1143=ORIENTED_EDGE('',*,*,#2252,.F.); #1144=ORIENTED_EDGE('',*,*,#2251,.F.); #1145=ORIENTED_EDGE('',*,*,#2253,.F.); #1146=ORIENTED_EDGE('',*,*,#2232,.T.); #1147=ORIENTED_EDGE('',*,*,#2242,.F.); #1148=ORIENTED_EDGE('',*,*,#2249,.F.); #1149=ORIENTED_EDGE('',*,*,#2233,.F.); #1150=ORIENTED_EDGE('',*,*,#2253,.T.); #1151=ORIENTED_EDGE('',*,*,#2245,.F.); #1152=ORIENTED_EDGE('',*,*,#2252,.T.); #1153=ORIENTED_EDGE('',*,*,#2247,.F.); #1154=ORIENTED_EDGE('',*,*,#2254,.T.); #1155=ORIENTED_EDGE('',*,*,#2227,.T.); #1156=ORIENTED_EDGE('',*,*,#2255,.T.); #1157=ORIENTED_EDGE('',*,*,#2221,.F.); #1158=ORIENTED_EDGE('',*,*,#2250,.F.); #1159=ORIENTED_EDGE('',*,*,#2255,.F.); #1160=ORIENTED_EDGE('',*,*,#2226,.T.); #1161=ORIENTED_EDGE('',*,*,#2254,.F.); #1162=ORIENTED_EDGE('',*,*,#2248,.F.); #1163=ORIENTED_EDGE('',*,*,#2240,.F.); #1164=ORIENTED_EDGE('',*,*,#2228,.T.); #1165=ORIENTED_EDGE('',*,*,#2224,.F.); #1166=ORIENTED_EDGE('',*,*,#2256,.T.); #1167=ORIENTED_EDGE('',*,*,#2257,.F.); #1168=ORIENTED_EDGE('',*,*,#2258,.F.); #1169=ORIENTED_EDGE('',*,*,#2259,.F.); #1170=ORIENTED_EDGE('',*,*,#2260,.T.); #1171=ORIENTED_EDGE('',*,*,#2230,.F.); #1172=ORIENTED_EDGE('',*,*,#2261,.F.); #1173=ORIENTED_EDGE('',*,*,#2262,.F.); #1174=ORIENTED_EDGE('',*,*,#2263,.T.); #1175=ORIENTED_EDGE('',*,*,#2264,.F.); #1176=ORIENTED_EDGE('',*,*,#2265,.T.); #1177=ORIENTED_EDGE('',*,*,#2266,.T.); #1178=ORIENTED_EDGE('',*,*,#2219,.T.); #1179=ORIENTED_EDGE('',*,*,#2225,.F.); #1180=ORIENTED_EDGE('',*,*,#2258,.T.); #1181=ORIENTED_EDGE('',*,*,#2267,.F.); #1182=ORIENTED_EDGE('',*,*,#2261,.T.); #1183=ORIENTED_EDGE('',*,*,#2229,.T.); #1184=ORIENTED_EDGE('',*,*,#2203,.T.); #1185=ORIENTED_EDGE('',*,*,#2195,.F.); #1186=ORIENTED_EDGE('',*,*,#2194,.F.); #1187=ORIENTED_EDGE('',*,*,#2201,.F.); #1188=ORIENTED_EDGE('',*,*,#2200,.F.); #1189=ORIENTED_EDGE('',*,*,#2199,.F.); #1190=ORIENTED_EDGE('',*,*,#2198,.F.); #1191=ORIENTED_EDGE('',*,*,#2197,.F.); #1192=ORIENTED_EDGE('',*,*,#2196,.F.); #1193=ORIENTED_EDGE('',*,*,#2257,.T.); #1194=ORIENTED_EDGE('',*,*,#2268,.T.); #1195=ORIENTED_EDGE('',*,*,#2259,.T.); #1196=ORIENTED_EDGE('',*,*,#2267,.T.); #1197=ORIENTED_EDGE('',*,*,#2256,.F.); #1198=ORIENTED_EDGE('',*,*,#2239,.F.); #1199=ORIENTED_EDGE('',*,*,#2269,.T.); #1200=ORIENTED_EDGE('',*,*,#2241,.F.); #1201=ORIENTED_EDGE('',*,*,#2260,.F.); #1202=ORIENTED_EDGE('',*,*,#2270,.F.); #1203=ORIENTED_EDGE('',*,*,#2268,.F.); #1204=ORIENTED_EDGE('',*,*,#2269,.F.); #1205=ORIENTED_EDGE('',*,*,#2175,.F.); #1206=ORIENTED_EDGE('',*,*,#2270,.T.); #1207=ORIENTED_EDGE('',*,*,#2271,.T.); #1208=ORIENTED_EDGE('',*,*,#2272,.F.); #1209=ORIENTED_EDGE('',*,*,#2273,.T.); #1210=ORIENTED_EDGE('',*,*,#2243,.F.); #1211=ORIENTED_EDGE('',*,*,#2271,.F.); #1212=ORIENTED_EDGE('',*,*,#2273,.F.); #1213=ORIENTED_EDGE('',*,*,#2274,.T.); #1214=ORIENTED_EDGE('',*,*,#2272,.T.); #1215=ORIENTED_EDGE('',*,*,#2274,.F.); #1216=ORIENTED_EDGE('',*,*,#2275,.T.); #1217=ORIENTED_EDGE('',*,*,#2192,.F.); #1218=ORIENTED_EDGE('',*,*,#2276,.F.); #1219=ORIENTED_EDGE('',*,*,#2277,.T.); #1220=ORIENTED_EDGE('',*,*,#2278,.T.); #1221=ORIENTED_EDGE('',*,*,#2189,.F.); #1222=ORIENTED_EDGE('',*,*,#2279,.F.); #1223=ORIENTED_EDGE('',*,*,#2280,.F.); #1224=ORIENTED_EDGE('',*,*,#2188,.F.); #1225=ORIENTED_EDGE('',*,*,#2281,.F.); #1226=ORIENTED_EDGE('',*,*,#2282,.T.); #1227=ORIENTED_EDGE('',*,*,#2279,.T.); #1228=ORIENTED_EDGE('',*,*,#2282,.F.); #1229=ORIENTED_EDGE('',*,*,#2283,.T.); #1230=ORIENTED_EDGE('',*,*,#2284,.T.); #1231=ORIENTED_EDGE('',*,*,#2280,.T.); #1232=ORIENTED_EDGE('',*,*,#2284,.F.); #1233=ORIENTED_EDGE('',*,*,#2285,.T.); #1234=ORIENTED_EDGE('',*,*,#2186,.T.); #1235=ORIENTED_EDGE('',*,*,#2278,.F.); #1236=ORIENTED_EDGE('',*,*,#2191,.F.); #1237=ORIENTED_EDGE('',*,*,#2286,.F.); #1238=ORIENTED_EDGE('',*,*,#2287,.T.); #1239=ORIENTED_EDGE('',*,*,#2276,.T.); #1240=ORIENTED_EDGE('',*,*,#2287,.F.); #1241=ORIENTED_EDGE('',*,*,#2288,.F.); #1242=ORIENTED_EDGE('',*,*,#2289,.T.); #1243=ORIENTED_EDGE('',*,*,#2277,.F.); #1244=ORIENTED_EDGE('',*,*,#2289,.F.); #1245=ORIENTED_EDGE('',*,*,#2290,.T.); #1246=ORIENTED_EDGE('',*,*,#2193,.T.); #1247=ORIENTED_EDGE('',*,*,#2275,.F.); #1248=ORIENTED_EDGE('',*,*,#2283,.F.); #1249=ORIENTED_EDGE('',*,*,#2281,.T.); #1250=ORIENTED_EDGE('',*,*,#2187,.F.); #1251=ORIENTED_EDGE('',*,*,#2285,.F.); #1252=ORIENTED_EDGE('',*,*,#2288,.T.); #1253=ORIENTED_EDGE('',*,*,#2286,.T.); #1254=ORIENTED_EDGE('',*,*,#2190,.F.); #1255=ORIENTED_EDGE('',*,*,#2290,.F.); #1256=ORIENTED_EDGE('',*,*,#2291,.F.); #1257=ORIENTED_EDGE('',*,*,#2292,.F.); #1258=ORIENTED_EDGE('',*,*,#2293,.T.); #1259=ORIENTED_EDGE('',*,*,#2294,.T.); #1260=ORIENTED_EDGE('',*,*,#2295,.T.); #1261=ORIENTED_EDGE('',*,*,#2172,.T.); #1262=ORIENTED_EDGE('',*,*,#2294,.F.); #1263=ORIENTED_EDGE('',*,*,#2295,.F.); #1264=ORIENTED_EDGE('',*,*,#2296,.T.); #1265=ORIENTED_EDGE('',*,*,#2291,.T.); #1266=ORIENTED_EDGE('',*,*,#2293,.F.); #1267=ORIENTED_EDGE('',*,*,#2292,.T.); #1268=ORIENTED_EDGE('',*,*,#2296,.F.); #1269=ORIENTED_EDGE('',*,*,#2262,.T.); #1270=ORIENTED_EDGE('',*,*,#2202,.T.); #1271=ORIENTED_EDGE('',*,*,#2206,.T.); #1272=ORIENTED_EDGE('',*,*,#2209,.T.); #1273=ORIENTED_EDGE('',*,*,#2165,.T.); #1274=ORIENTED_EDGE('',*,*,#2297,.T.); #1275=ORIENTED_EDGE('',*,*,#2298,.T.); #1276=ORIENTED_EDGE('',*,*,#2299,.T.); #1277=ORIENTED_EDGE('',*,*,#2300,.T.); #1278=ORIENTED_EDGE('',*,*,#2301,.T.); #1279=ORIENTED_EDGE('',*,*,#2302,.T.); #1280=ORIENTED_EDGE('',*,*,#2303,.T.); #1281=ORIENTED_EDGE('',*,*,#2304,.T.); #1282=ORIENTED_EDGE('',*,*,#2305,.T.); #1283=ORIENTED_EDGE('',*,*,#2263,.F.); #1284=ORIENTED_EDGE('',*,*,#2305,.F.); #1285=ORIENTED_EDGE('',*,*,#2306,.F.); #1286=ORIENTED_EDGE('',*,*,#2307,.F.); #1287=ORIENTED_EDGE('',*,*,#2308,.T.); #1288=ORIENTED_EDGE('',*,*,#2309,.T.); #1289=ORIENTED_EDGE('',*,*,#2264,.T.); #1290=ORIENTED_EDGE('',*,*,#2307,.T.); #1291=ORIENTED_EDGE('',*,*,#2265,.F.); #1292=ORIENTED_EDGE('',*,*,#2309,.F.); #1293=ORIENTED_EDGE('',*,*,#2310,.F.); #1294=ORIENTED_EDGE('',*,*,#2311,.F.); #1295=ORIENTED_EDGE('',*,*,#2214,.T.); #1296=ORIENTED_EDGE('',*,*,#2217,.T.); #1297=ORIENTED_EDGE('',*,*,#2266,.F.); #1298=ORIENTED_EDGE('',*,*,#2311,.T.); #1299=ORIENTED_EDGE('',*,*,#2312,.T.); #1300=ORIENTED_EDGE('',*,*,#2313,.F.); #1301=ORIENTED_EDGE('',*,*,#2314,.T.); #1302=ORIENTED_EDGE('',*,*,#2315,.T.); #1303=ORIENTED_EDGE('',*,*,#2316,.T.); #1304=ORIENTED_EDGE('',*,*,#2317,.T.); #1305=ORIENTED_EDGE('',*,*,#2318,.T.); #1306=ORIENTED_EDGE('',*,*,#2319,.T.); #1307=ORIENTED_EDGE('',*,*,#2161,.F.); #1308=ORIENTED_EDGE('',*,*,#2211,.T.); #1309=ORIENTED_EDGE('',*,*,#2162,.F.); #1310=ORIENTED_EDGE('',*,*,#2319,.F.); #1311=ORIENTED_EDGE('',*,*,#2320,.F.); #1312=ORIENTED_EDGE('',*,*,#2321,.F.); #1313=ORIENTED_EDGE('',*,*,#2322,.F.); #1314=ORIENTED_EDGE('',*,*,#2323,.T.); #1315=ORIENTED_EDGE('',*,*,#2163,.F.); #1316=ORIENTED_EDGE('',*,*,#2321,.T.); #1317=ORIENTED_EDGE('',*,*,#2164,.F.); #1318=ORIENTED_EDGE('',*,*,#2323,.F.); #1319=ORIENTED_EDGE('',*,*,#2324,.F.); #1320=ORIENTED_EDGE('',*,*,#2297,.F.); #1321=ORIENTED_EDGE('',*,*,#2325,.F.); #1322=ORIENTED_EDGE('',*,*,#2326,.T.); #1323=ORIENTED_EDGE('',*,*,#2315,.F.); #1324=ORIENTED_EDGE('',*,*,#2327,.T.); #1325=ORIENTED_EDGE('',*,*,#2328,.T.); #1326=ORIENTED_EDGE('',*,*,#2329,.T.); #1327=ORIENTED_EDGE('',*,*,#2325,.T.); #1328=ORIENTED_EDGE('',*,*,#2330,.T.); #1329=ORIENTED_EDGE('',*,*,#2331,.T.); #1330=ORIENTED_EDGE('',*,*,#2332,.T.); #1331=ORIENTED_EDGE('',*,*,#2333,.T.); #1332=ORIENTED_EDGE('',*,*,#2334,.T.); #1333=ORIENTED_EDGE('',*,*,#2301,.F.); #1334=ORIENTED_EDGE('',*,*,#2335,.T.); #1335=ORIENTED_EDGE('',*,*,#2328,.F.); #1336=ORIENTED_EDGE('',*,*,#2336,.T.); #1337=ORIENTED_EDGE('',*,*,#2337,.T.); #1338=ORIENTED_EDGE('',*,*,#2338,.T.); #1339=ORIENTED_EDGE('',*,*,#2339,.F.); #1340=ORIENTED_EDGE('',*,*,#2338,.F.); #1341=ORIENTED_EDGE('',*,*,#2340,.T.); #1342=ORIENTED_EDGE('',*,*,#2337,.F.); #1343=ORIENTED_EDGE('',*,*,#2339,.T.); #1344=ORIENTED_EDGE('',*,*,#2341,.F.); #1345=ORIENTED_EDGE('',*,*,#2342,.F.); #1346=ORIENTED_EDGE('',*,*,#2343,.F.); #1347=ORIENTED_EDGE('',*,*,#2344,.T.); #1348=ORIENTED_EDGE('',*,*,#2345,.F.); #1349=ORIENTED_EDGE('',*,*,#2345,.T.); #1350=ORIENTED_EDGE('',*,*,#2346,.F.); #1351=ORIENTED_EDGE('',*,*,#2347,.T.); #1352=ORIENTED_EDGE('',*,*,#2348,.F.); #1353=ORIENTED_EDGE('',*,*,#2349,.T.); #1354=ORIENTED_EDGE('',*,*,#2350,.F.); #1355=ORIENTED_EDGE('',*,*,#2351,.F.); #1356=ORIENTED_EDGE('',*,*,#2347,.F.); #1357=ORIENTED_EDGE('',*,*,#2340,.F.); #1358=ORIENTED_EDGE('',*,*,#2352,.F.); #1359=ORIENTED_EDGE('',*,*,#2353,.F.); #1360=ORIENTED_EDGE('',*,*,#2351,.T.); #1361=ORIENTED_EDGE('',*,*,#2354,.F.); #1362=ORIENTED_EDGE('',*,*,#2355,.F.); #1363=ORIENTED_EDGE('',*,*,#2348,.T.); #1364=ORIENTED_EDGE('',*,*,#2353,.T.); #1365=ORIENTED_EDGE('',*,*,#2356,.F.); #1366=ORIENTED_EDGE('',*,*,#2357,.T.); #1367=ORIENTED_EDGE('',*,*,#2358,.F.); #1368=ORIENTED_EDGE('',*,*,#2342,.T.); #1369=ORIENTED_EDGE('',*,*,#2357,.F.); #1370=ORIENTED_EDGE('',*,*,#2359,.F.); #1371=ORIENTED_EDGE('',*,*,#2341,.T.); #1372=ORIENTED_EDGE('',*,*,#2360,.F.); #1373=ORIENTED_EDGE('',*,*,#2361,.T.); #1374=ORIENTED_EDGE('',*,*,#2343,.T.); #1375=ORIENTED_EDGE('',*,*,#2358,.T.); #1376=ORIENTED_EDGE('',*,*,#2362,.F.); #1377=ORIENTED_EDGE('',*,*,#2363,.T.); #1378=ORIENTED_EDGE('',*,*,#2361,.F.); #1379=ORIENTED_EDGE('',*,*,#2364,.F.); #1380=ORIENTED_EDGE('',*,*,#2365,.F.); #1381=ORIENTED_EDGE('',*,*,#2344,.F.); #1382=ORIENTED_EDGE('',*,*,#2365,.T.); #1383=ORIENTED_EDGE('',*,*,#2366,.F.); #1384=ORIENTED_EDGE('',*,*,#2367,.T.); #1385=ORIENTED_EDGE('',*,*,#2346,.T.); #1386=ORIENTED_EDGE('',*,*,#2367,.F.); #1387=ORIENTED_EDGE('',*,*,#2368,.F.); #1388=ORIENTED_EDGE('',*,*,#2369,.F.); #1389=ORIENTED_EDGE('',*,*,#2349,.F.); #1390=ORIENTED_EDGE('',*,*,#2352,.T.); #1391=ORIENTED_EDGE('',*,*,#2370,.F.); #1392=ORIENTED_EDGE('',*,*,#2371,.F.); #1393=ORIENTED_EDGE('',*,*,#2372,.F.); #1394=ORIENTED_EDGE('',*,*,#2354,.T.); #1395=ORIENTED_EDGE('',*,*,#2350,.T.); #1396=ORIENTED_EDGE('',*,*,#2369,.T.); #1397=ORIENTED_EDGE('',*,*,#2312,.F.); #1398=ORIENTED_EDGE('',*,*,#2310,.T.); #1399=ORIENTED_EDGE('',*,*,#2308,.F.); #1400=ORIENTED_EDGE('',*,*,#2306,.T.); #1401=ORIENTED_EDGE('',*,*,#2304,.F.); #1402=ORIENTED_EDGE('',*,*,#2373,.F.); #1403=ORIENTED_EDGE('',*,*,#2370,.T.); #1404=ORIENTED_EDGE('',*,*,#2368,.T.); #1405=ORIENTED_EDGE('',*,*,#2366,.T.); #1406=ORIENTED_EDGE('',*,*,#2364,.T.); #1407=ORIENTED_EDGE('',*,*,#2360,.T.); #1408=ORIENTED_EDGE('',*,*,#2374,.F.); #1409=ORIENTED_EDGE('',*,*,#2375,.T.); #1410=ORIENTED_EDGE('',*,*,#2313,.T.); #1411=ORIENTED_EDGE('',*,*,#2374,.T.); #1412=ORIENTED_EDGE('',*,*,#2363,.F.); #1413=ORIENTED_EDGE('',*,*,#2303,.F.); #1414=ORIENTED_EDGE('',*,*,#2376,.T.); #1415=ORIENTED_EDGE('',*,*,#2371,.T.); #1416=ORIENTED_EDGE('',*,*,#2373,.T.); #1417=ORIENTED_EDGE('',*,*,#2302,.F.); #1418=ORIENTED_EDGE('',*,*,#2336,.F.); #1419=ORIENTED_EDGE('',*,*,#2330,.F.); #1420=ORIENTED_EDGE('',*,*,#2327,.F.); #1421=ORIENTED_EDGE('',*,*,#2314,.F.); #1422=ORIENTED_EDGE('',*,*,#2375,.F.); #1423=ORIENTED_EDGE('',*,*,#2362,.T.); #1424=ORIENTED_EDGE('',*,*,#2359,.T.); #1425=ORIENTED_EDGE('',*,*,#2356,.T.); #1426=ORIENTED_EDGE('',*,*,#2355,.T.); #1427=ORIENTED_EDGE('',*,*,#2372,.T.); #1428=ORIENTED_EDGE('',*,*,#2376,.F.); #1429=ORIENTED_EDGE('',*,*,#2377,.T.); #1430=ORIENTED_EDGE('',*,*,#2378,.T.); #1431=ORIENTED_EDGE('',*,*,#2379,.T.); #1432=ORIENTED_EDGE('',*,*,#2377,.F.); #1433=ORIENTED_EDGE('',*,*,#2380,.F.); #1434=ORIENTED_EDGE('',*,*,#2378,.F.); #1435=ORIENTED_EDGE('',*,*,#2379,.F.); #1436=ORIENTED_EDGE('',*,*,#2381,.F.); #1437=ORIENTED_EDGE('',*,*,#2382,.T.); #1438=ORIENTED_EDGE('',*,*,#2383,.F.); #1439=ORIENTED_EDGE('',*,*,#2384,.F.); #1440=ORIENTED_EDGE('',*,*,#2385,.F.); #1441=ORIENTED_EDGE('',*,*,#2386,.F.); #1442=ORIENTED_EDGE('',*,*,#2385,.T.); #1443=ORIENTED_EDGE('',*,*,#2387,.F.); #1444=ORIENTED_EDGE('',*,*,#2388,.T.); #1445=ORIENTED_EDGE('',*,*,#2389,.T.); #1446=ORIENTED_EDGE('',*,*,#2388,.F.); #1447=ORIENTED_EDGE('',*,*,#2390,.F.); #1448=ORIENTED_EDGE('',*,*,#2391,.F.); #1449=ORIENTED_EDGE('',*,*,#2380,.T.); #1450=ORIENTED_EDGE('',*,*,#2392,.F.); #1451=ORIENTED_EDGE('',*,*,#2393,.F.); #1452=ORIENTED_EDGE('',*,*,#2394,.F.); #1453=ORIENTED_EDGE('',*,*,#2395,.F.); #1454=ORIENTED_EDGE('',*,*,#2389,.F.); #1455=ORIENTED_EDGE('',*,*,#2396,.F.); #1456=ORIENTED_EDGE('',*,*,#2397,.T.); #1457=ORIENTED_EDGE('',*,*,#2386,.T.); #1458=ORIENTED_EDGE('',*,*,#2395,.T.); #1459=ORIENTED_EDGE('',*,*,#2398,.F.); #1460=ORIENTED_EDGE('',*,*,#2382,.F.); #1461=ORIENTED_EDGE('',*,*,#2397,.F.); #1462=ORIENTED_EDGE('',*,*,#2399,.F.); #1463=ORIENTED_EDGE('',*,*,#2381,.T.); #1464=ORIENTED_EDGE('',*,*,#2400,.F.); #1465=ORIENTED_EDGE('',*,*,#2401,.F.); #1466=ORIENTED_EDGE('',*,*,#2402,.T.); #1467=ORIENTED_EDGE('',*,*,#2383,.T.); #1468=ORIENTED_EDGE('',*,*,#2398,.T.); #1469=ORIENTED_EDGE('',*,*,#2403,.F.); #1470=ORIENTED_EDGE('',*,*,#2402,.F.); #1471=ORIENTED_EDGE('',*,*,#2404,.F.); #1472=ORIENTED_EDGE('',*,*,#2405,.F.); #1473=ORIENTED_EDGE('',*,*,#2384,.T.); #1474=ORIENTED_EDGE('',*,*,#2405,.T.); #1475=ORIENTED_EDGE('',*,*,#2406,.T.); #1476=ORIENTED_EDGE('',*,*,#2407,.T.); #1477=ORIENTED_EDGE('',*,*,#2387,.T.); #1478=ORIENTED_EDGE('',*,*,#2407,.F.); #1479=ORIENTED_EDGE('',*,*,#2408,.F.); #1480=ORIENTED_EDGE('',*,*,#2409,.F.); #1481=ORIENTED_EDGE('',*,*,#2390,.T.); #1482=ORIENTED_EDGE('',*,*,#2392,.T.); #1483=ORIENTED_EDGE('',*,*,#2409,.T.); #1484=ORIENTED_EDGE('',*,*,#2410,.F.); #1485=ORIENTED_EDGE('',*,*,#2411,.F.); #1486=ORIENTED_EDGE('',*,*,#2412,.F.); #1487=ORIENTED_EDGE('',*,*,#2393,.T.); #1488=ORIENTED_EDGE('',*,*,#2391,.T.); #1489=ORIENTED_EDGE('',*,*,#2298,.F.); #1490=ORIENTED_EDGE('',*,*,#2324,.T.); #1491=ORIENTED_EDGE('',*,*,#2322,.T.); #1492=ORIENTED_EDGE('',*,*,#2320,.T.); #1493=ORIENTED_EDGE('',*,*,#2318,.F.); #1494=ORIENTED_EDGE('',*,*,#2413,.F.); #1495=ORIENTED_EDGE('',*,*,#2410,.T.); #1496=ORIENTED_EDGE('',*,*,#2408,.T.); #1497=ORIENTED_EDGE('',*,*,#2406,.F.); #1498=ORIENTED_EDGE('',*,*,#2404,.T.); #1499=ORIENTED_EDGE('',*,*,#2401,.T.); #1500=ORIENTED_EDGE('',*,*,#2414,.F.); #1501=ORIENTED_EDGE('',*,*,#2317,.F.); #1502=ORIENTED_EDGE('',*,*,#2415,.T.); #1503=ORIENTED_EDGE('',*,*,#2411,.T.); #1504=ORIENTED_EDGE('',*,*,#2413,.T.); #1505=ORIENTED_EDGE('',*,*,#2299,.F.); #1506=ORIENTED_EDGE('',*,*,#2414,.T.); #1507=ORIENTED_EDGE('',*,*,#2400,.T.); #1508=ORIENTED_EDGE('',*,*,#2416,.T.); #1509=ORIENTED_EDGE('',*,*,#2316,.F.); #1510=ORIENTED_EDGE('',*,*,#2326,.F.); #1511=ORIENTED_EDGE('',*,*,#2329,.F.); #1512=ORIENTED_EDGE('',*,*,#2335,.F.); #1513=ORIENTED_EDGE('',*,*,#2300,.F.); #1514=ORIENTED_EDGE('',*,*,#2416,.F.); #1515=ORIENTED_EDGE('',*,*,#2403,.T.); #1516=ORIENTED_EDGE('',*,*,#2399,.T.); #1517=ORIENTED_EDGE('',*,*,#2396,.T.); #1518=ORIENTED_EDGE('',*,*,#2394,.T.); #1519=ORIENTED_EDGE('',*,*,#2412,.T.); #1520=ORIENTED_EDGE('',*,*,#2415,.F.); #1521=ORIENTED_EDGE('',*,*,#2334,.F.); #1522=ORIENTED_EDGE('',*,*,#2417,.F.); #1523=ORIENTED_EDGE('',*,*,#2418,.T.); #1524=ORIENTED_EDGE('',*,*,#2419,.F.); #1525=ORIENTED_EDGE('',*,*,#2420,.F.); #1526=ORIENTED_EDGE('',*,*,#2421,.F.); #1527=ORIENTED_EDGE('',*,*,#2418,.F.); #1528=ORIENTED_EDGE('',*,*,#2422,.F.); #1529=ORIENTED_EDGE('',*,*,#2423,.F.); #1530=ORIENTED_EDGE('',*,*,#2424,.F.); #1531=ORIENTED_EDGE('',*,*,#2425,.F.); #1532=ORIENTED_EDGE('',*,*,#2426,.F.); #1533=ORIENTED_EDGE('',*,*,#2333,.F.); #1534=ORIENTED_EDGE('',*,*,#2427,.F.); #1535=ORIENTED_EDGE('',*,*,#2422,.T.); #1536=ORIENTED_EDGE('',*,*,#2417,.T.); #1537=ORIENTED_EDGE('',*,*,#2332,.F.); #1538=ORIENTED_EDGE('',*,*,#2428,.T.); #1539=ORIENTED_EDGE('',*,*,#2420,.T.); #1540=ORIENTED_EDGE('',*,*,#2427,.T.); #1541=ORIENTED_EDGE('',*,*,#2423,.T.); #1542=ORIENTED_EDGE('',*,*,#2429,.F.); #1543=ORIENTED_EDGE('',*,*,#2430,.F.); #1544=ORIENTED_EDGE('',*,*,#2431,.F.); #1545=ORIENTED_EDGE('',*,*,#2425,.T.); #1546=ORIENTED_EDGE('',*,*,#2432,.T.); #1547=ORIENTED_EDGE('',*,*,#2433,.F.); #1548=ORIENTED_EDGE('',*,*,#2434,.T.); #1549=ORIENTED_EDGE('',*,*,#2433,.T.); #1550=ORIENTED_EDGE('',*,*,#2435,.F.); #1551=ORIENTED_EDGE('',*,*,#2430,.T.); #1552=ORIENTED_EDGE('',*,*,#2436,.F.); #1553=ORIENTED_EDGE('',*,*,#2436,.T.); #1554=ORIENTED_EDGE('',*,*,#2429,.T.); #1555=ORIENTED_EDGE('',*,*,#2426,.T.); #1556=ORIENTED_EDGE('',*,*,#2434,.F.); #1557=ORIENTED_EDGE('',*,*,#2331,.F.); #1558=ORIENTED_EDGE('',*,*,#2419,.T.); #1559=ORIENTED_EDGE('',*,*,#2421,.T.); #1560=ORIENTED_EDGE('',*,*,#2428,.F.); #1561=ORIENTED_EDGE('',*,*,#2424,.T.); #1562=ORIENTED_EDGE('',*,*,#2431,.T.); #1563=ORIENTED_EDGE('',*,*,#2435,.T.); #1564=ORIENTED_EDGE('',*,*,#2432,.F.); #1565=ORIENTED_EDGE('',*,*,#2437,.F.); #1566=ORIENTED_EDGE('',*,*,#2438,.F.); #1567=ORIENTED_EDGE('',*,*,#2439,.F.); #1568=ORIENTED_EDGE('',*,*,#2440,.T.); #1569=ORIENTED_EDGE('',*,*,#2441,.T.); #1570=ORIENTED_EDGE('',*,*,#2442,.T.); #1571=ORIENTED_EDGE('',*,*,#2439,.T.); #1572=ORIENTED_EDGE('',*,*,#2443,.T.); #1573=ORIENTED_EDGE('',*,*,#2444,.F.); #1574=ORIENTED_EDGE('',*,*,#2445,.T.); #1575=ORIENTED_EDGE('',*,*,#2446,.T.); #1576=ORIENTED_EDGE('',*,*,#2447,.T.); #1577=ORIENTED_EDGE('',*,*,#2448,.F.); #1578=ORIENTED_EDGE('',*,*,#2449,.T.); #1579=ORIENTED_EDGE('',*,*,#2450,.F.); #1580=ORIENTED_EDGE('',*,*,#2451,.T.); #1581=ORIENTED_EDGE('',*,*,#2452,.T.); #1582=ORIENTED_EDGE('',*,*,#2453,.T.); #1583=ORIENTED_EDGE('',*,*,#2454,.T.); #1584=ORIENTED_EDGE('',*,*,#2455,.F.); #1585=ORIENTED_EDGE('',*,*,#2456,.T.); #1586=ORIENTED_EDGE('',*,*,#2457,.F.); #1587=ORIENTED_EDGE('',*,*,#2440,.F.); #1588=ORIENTED_EDGE('',*,*,#2442,.F.); #1589=ORIENTED_EDGE('',*,*,#2450,.T.); #1590=ORIENTED_EDGE('',*,*,#2458,.F.); #1591=ORIENTED_EDGE('',*,*,#2459,.F.); #1592=ORIENTED_EDGE('',*,*,#2460,.T.); #1593=ORIENTED_EDGE('',*,*,#2437,.T.); #1594=ORIENTED_EDGE('',*,*,#2457,.T.); #1595=ORIENTED_EDGE('',*,*,#2461,.F.); #1596=ORIENTED_EDGE('',*,*,#2462,.F.); #1597=ORIENTED_EDGE('',*,*,#2463,.F.); #1598=ORIENTED_EDGE('',*,*,#2464,.T.); #1599=ORIENTED_EDGE('',*,*,#2465,.F.); #1600=ORIENTED_EDGE('',*,*,#2443,.F.); #1601=ORIENTED_EDGE('',*,*,#2438,.T.); #1602=ORIENTED_EDGE('',*,*,#2460,.F.); #1603=ORIENTED_EDGE('',*,*,#2466,.T.); #1604=ORIENTED_EDGE('',*,*,#2467,.T.); #1605=ORIENTED_EDGE('',*,*,#2468,.F.); #1606=ORIENTED_EDGE('',*,*,#2469,.F.); #1607=ORIENTED_EDGE('',*,*,#2464,.F.); #1608=ORIENTED_EDGE('',*,*,#2470,.F.); #1609=ORIENTED_EDGE('',*,*,#2471,.F.); #1610=ORIENTED_EDGE('',*,*,#2472,.F.); #1611=ORIENTED_EDGE('',*,*,#2453,.F.); #1612=ORIENTED_EDGE('',*,*,#2473,.F.); #1613=ORIENTED_EDGE('',*,*,#2463,.T.); #1614=ORIENTED_EDGE('',*,*,#2474,.T.); #1615=ORIENTED_EDGE('',*,*,#2454,.F.); #1616=ORIENTED_EDGE('',*,*,#2472,.T.); #1617=ORIENTED_EDGE('',*,*,#2475,.T.); #1618=ORIENTED_EDGE('',*,*,#2470,.T.); #1619=ORIENTED_EDGE('',*,*,#2476,.T.); #1620=ORIENTED_EDGE('',*,*,#2473,.T.); #1621=ORIENTED_EDGE('',*,*,#2452,.F.); #1622=ORIENTED_EDGE('',*,*,#2441,.F.); #1623=ORIENTED_EDGE('',*,*,#2465,.T.); #1624=ORIENTED_EDGE('',*,*,#2469,.T.); #1625=ORIENTED_EDGE('',*,*,#2477,.F.); #1626=ORIENTED_EDGE('',*,*,#2478,.T.); #1627=ORIENTED_EDGE('',*,*,#2474,.F.); #1628=ORIENTED_EDGE('',*,*,#2467,.F.); #1629=ORIENTED_EDGE('',*,*,#2479,.F.); #1630=ORIENTED_EDGE('',*,*,#2480,.F.); #1631=ORIENTED_EDGE('',*,*,#2446,.F.); #1632=ORIENTED_EDGE('',*,*,#2481,.T.); #1633=ORIENTED_EDGE('',*,*,#2482,.F.); #1634=ORIENTED_EDGE('',*,*,#2455,.T.); #1635=ORIENTED_EDGE('',*,*,#2483,.F.); #1636=ORIENTED_EDGE('',*,*,#2484,.F.); #1637=ORIENTED_EDGE('',*,*,#2485,.F.); #1638=ORIENTED_EDGE('',*,*,#2461,.T.); #1639=ORIENTED_EDGE('',*,*,#2456,.F.); #1640=ORIENTED_EDGE('',*,*,#2482,.T.); #1641=ORIENTED_EDGE('',*,*,#2486,.F.); #1642=ORIENTED_EDGE('',*,*,#2487,.F.); #1643=ORIENTED_EDGE('',*,*,#2488,.F.); #1644=ORIENTED_EDGE('',*,*,#2489,.T.); #1645=ORIENTED_EDGE('',*,*,#2490,.F.); #1646=ORIENTED_EDGE('',*,*,#2451,.F.); #1647=ORIENTED_EDGE('',*,*,#2462,.T.); #1648=ORIENTED_EDGE('',*,*,#2485,.T.); #1649=ORIENTED_EDGE('',*,*,#2491,.F.); #1650=ORIENTED_EDGE('',*,*,#2486,.T.); #1651=ORIENTED_EDGE('',*,*,#2481,.F.); #1652=ORIENTED_EDGE('',*,*,#2445,.F.); #1653=ORIENTED_EDGE('',*,*,#2492,.F.); #1654=ORIENTED_EDGE('',*,*,#2493,.T.); #1655=ORIENTED_EDGE('',*,*,#2494,.T.); #1656=ORIENTED_EDGE('',*,*,#2495,.F.); #1657=ORIENTED_EDGE('',*,*,#2496,.F.); #1658=ORIENTED_EDGE('',*,*,#2497,.F.); #1659=ORIENTED_EDGE('',*,*,#2498,.T.); #1660=ORIENTED_EDGE('',*,*,#2479,.T.); #1661=ORIENTED_EDGE('',*,*,#2466,.F.); #1662=ORIENTED_EDGE('',*,*,#2459,.T.); #1663=ORIENTED_EDGE('',*,*,#2499,.F.); #1664=ORIENTED_EDGE('',*,*,#2494,.F.); #1665=ORIENTED_EDGE('',*,*,#2458,.T.); #1666=ORIENTED_EDGE('',*,*,#2449,.F.); #1667=ORIENTED_EDGE('',*,*,#2500,.T.); #1668=ORIENTED_EDGE('',*,*,#2501,.T.); #1669=ORIENTED_EDGE('',*,*,#2502,.F.); #1670=ORIENTED_EDGE('',*,*,#2503,.T.); #1671=ORIENTED_EDGE('',*,*,#2504,.F.); #1672=ORIENTED_EDGE('',*,*,#2447,.F.); #1673=ORIENTED_EDGE('',*,*,#2480,.T.); #1674=ORIENTED_EDGE('',*,*,#2498,.F.); #1675=ORIENTED_EDGE('',*,*,#2504,.T.); #1676=ORIENTED_EDGE('',*,*,#2505,.T.); #1677=ORIENTED_EDGE('',*,*,#2492,.T.); #1678=ORIENTED_EDGE('',*,*,#2444,.T.); #1679=ORIENTED_EDGE('',*,*,#2490,.T.); #1680=ORIENTED_EDGE('',*,*,#2506,.T.); #1681=ORIENTED_EDGE('',*,*,#2500,.F.); #1682=ORIENTED_EDGE('',*,*,#2448,.T.); #1683=ORIENTED_EDGE('',*,*,#2493,.F.); #1684=ORIENTED_EDGE('',*,*,#2505,.F.); #1685=ORIENTED_EDGE('',*,*,#2503,.F.); #1686=ORIENTED_EDGE('',*,*,#2507,.F.); #1687=ORIENTED_EDGE('',*,*,#2496,.T.); #1688=ORIENTED_EDGE('',*,*,#2508,.F.); #1689=ORIENTED_EDGE('',*,*,#2477,.T.); #1690=ORIENTED_EDGE('',*,*,#2468,.T.); #1691=ORIENTED_EDGE('',*,*,#2509,.T.); #1692=ORIENTED_EDGE('',*,*,#2483,.T.); #1693=ORIENTED_EDGE('',*,*,#2510,.T.); #1694=ORIENTED_EDGE('',*,*,#2471,.T.); #1695=ORIENTED_EDGE('',*,*,#2476,.F.); #1696=ORIENTED_EDGE('',*,*,#2511,.F.); #1697=ORIENTED_EDGE('',*,*,#2475,.F.); #1698=ORIENTED_EDGE('',*,*,#2510,.F.); #1699=ORIENTED_EDGE('',*,*,#2487,.T.); #1700=ORIENTED_EDGE('',*,*,#2512,.T.); #1701=ORIENTED_EDGE('',*,*,#2497,.T.); #1702=ORIENTED_EDGE('',*,*,#2509,.F.); #1703=ORIENTED_EDGE('',*,*,#2495,.T.); #1704=ORIENTED_EDGE('',*,*,#2513,.T.); #1705=ORIENTED_EDGE('',*,*,#2484,.T.); #1706=ORIENTED_EDGE('',*,*,#2511,.T.); #1707=ORIENTED_EDGE('',*,*,#2478,.F.); #1708=ORIENTED_EDGE('',*,*,#2508,.T.); #1709=ORIENTED_EDGE('',*,*,#2489,.F.); #1710=ORIENTED_EDGE('',*,*,#2514,.F.); #1711=ORIENTED_EDGE('',*,*,#2501,.F.); #1712=ORIENTED_EDGE('',*,*,#2506,.F.); #1713=ORIENTED_EDGE('',*,*,#2514,.T.); #1714=ORIENTED_EDGE('',*,*,#2488,.T.); #1715=ORIENTED_EDGE('',*,*,#2513,.F.); #1716=ORIENTED_EDGE('',*,*,#2499,.T.); #1717=ORIENTED_EDGE('',*,*,#2512,.F.); #1718=ORIENTED_EDGE('',*,*,#2491,.T.); #1719=ORIENTED_EDGE('',*,*,#2507,.T.); #1720=ORIENTED_EDGE('',*,*,#2502,.T.); #1721=ORIENTED_EDGE('',*,*,#2515,.F.); #1722=ORIENTED_EDGE('',*,*,#2516,.F.); #1723=ORIENTED_EDGE('',*,*,#2517,.T.); #1724=ORIENTED_EDGE('',*,*,#2518,.T.); #1725=ORIENTED_EDGE('',*,*,#2519,.T.); #1726=ORIENTED_EDGE('',*,*,#2520,.T.); #1727=ORIENTED_EDGE('',*,*,#2521,.T.); #1728=ORIENTED_EDGE('',*,*,#2522,.F.); #1729=ORIENTED_EDGE('',*,*,#2523,.T.); #1730=ORIENTED_EDGE('',*,*,#2522,.T.); #1731=ORIENTED_EDGE('',*,*,#2524,.F.); #1732=ORIENTED_EDGE('',*,*,#2515,.T.); #1733=ORIENTED_EDGE('',*,*,#2521,.F.); #1734=ORIENTED_EDGE('',*,*,#2525,.T.); #1735=ORIENTED_EDGE('',*,*,#2526,.F.); #1736=ORIENTED_EDGE('',*,*,#2527,.T.); #1737=ORIENTED_EDGE('',*,*,#2516,.T.); #1738=ORIENTED_EDGE('',*,*,#2524,.T.); #1739=ORIENTED_EDGE('',*,*,#2517,.F.); #1740=ORIENTED_EDGE('',*,*,#2527,.F.); #1741=ORIENTED_EDGE('',*,*,#2528,.T.); #1742=ORIENTED_EDGE('',*,*,#2529,.F.); #1743=ORIENTED_EDGE('',*,*,#2518,.F.); #1744=ORIENTED_EDGE('',*,*,#2529,.T.); #1745=ORIENTED_EDGE('',*,*,#2530,.F.); #1746=ORIENTED_EDGE('',*,*,#2531,.F.); #1747=ORIENTED_EDGE('',*,*,#2519,.F.); #1748=ORIENTED_EDGE('',*,*,#2523,.F.); #1749=ORIENTED_EDGE('',*,*,#2530,.T.); #1750=ORIENTED_EDGE('',*,*,#2528,.F.); #1751=ORIENTED_EDGE('',*,*,#2526,.T.); #1752=ORIENTED_EDGE('',*,*,#2532,.F.); #1753=ORIENTED_EDGE('',*,*,#2531,.T.); #1754=ORIENTED_EDGE('',*,*,#2532,.T.); #1755=ORIENTED_EDGE('',*,*,#2525,.F.); #1756=ORIENTED_EDGE('',*,*,#2520,.F.); #1757=ORIENTED_EDGE('',*,*,#2533,.T.); #1758=ORIENTED_EDGE('',*,*,#2534,.T.); #1759=ORIENTED_EDGE('',*,*,#2535,.T.); #1760=ORIENTED_EDGE('',*,*,#2536,.T.); #1761=ORIENTED_EDGE('',*,*,#2537,.T.); #1762=ORIENTED_EDGE('',*,*,#2538,.T.); #1763=ORIENTED_EDGE('',*,*,#2539,.T.); #1764=ORIENTED_EDGE('',*,*,#2540,.F.); #1765=ORIENTED_EDGE('',*,*,#2541,.T.); #1766=ORIENTED_EDGE('',*,*,#2540,.T.); #1767=ORIENTED_EDGE('',*,*,#2542,.F.); #1768=ORIENTED_EDGE('',*,*,#2536,.F.); #1769=ORIENTED_EDGE('',*,*,#2539,.F.); #1770=ORIENTED_EDGE('',*,*,#2543,.T.); #1771=ORIENTED_EDGE('',*,*,#2544,.T.); #1772=ORIENTED_EDGE('',*,*,#2545,.F.); #1773=ORIENTED_EDGE('',*,*,#2533,.F.); #1774=ORIENTED_EDGE('',*,*,#2542,.T.); #1775=ORIENTED_EDGE('',*,*,#2537,.F.); #1776=ORIENTED_EDGE('',*,*,#2541,.F.); #1777=ORIENTED_EDGE('',*,*,#2535,.F.); #1778=ORIENTED_EDGE('',*,*,#2546,.F.); #1779=ORIENTED_EDGE('',*,*,#2547,.F.); #1780=ORIENTED_EDGE('',*,*,#2548,.T.); #1781=ORIENTED_EDGE('',*,*,#2538,.F.); #1782=ORIENTED_EDGE('',*,*,#2548,.F.); #1783=ORIENTED_EDGE('',*,*,#2549,.T.); #1784=ORIENTED_EDGE('',*,*,#2543,.F.); #1785=ORIENTED_EDGE('',*,*,#2550,.T.); #1786=ORIENTED_EDGE('',*,*,#2546,.T.); #1787=ORIENTED_EDGE('',*,*,#2534,.F.); #1788=ORIENTED_EDGE('',*,*,#2545,.T.); #1789=ORIENTED_EDGE('',*,*,#2544,.F.); #1790=ORIENTED_EDGE('',*,*,#2549,.F.); #1791=ORIENTED_EDGE('',*,*,#2547,.T.); #1792=ORIENTED_EDGE('',*,*,#2550,.F.); #1793=ORIENTED_EDGE('',*,*,#2551,.F.); #1794=ORIENTED_EDGE('',*,*,#2552,.F.); #1795=ORIENTED_EDGE('',*,*,#2553,.F.); #1796=ORIENTED_EDGE('',*,*,#2554,.F.); #1797=ORIENTED_EDGE('',*,*,#2555,.T.); #1798=ORIENTED_EDGE('',*,*,#2556,.F.); #1799=ORIENTED_EDGE('',*,*,#2553,.T.); #1800=ORIENTED_EDGE('',*,*,#2557,.T.); #1801=ORIENTED_EDGE('',*,*,#2558,.T.); #1802=ORIENTED_EDGE('',*,*,#2559,.F.); #1803=ORIENTED_EDGE('',*,*,#2554,.T.); #1804=ORIENTED_EDGE('',*,*,#2556,.T.); #1805=ORIENTED_EDGE('',*,*,#2560,.T.); #1806=ORIENTED_EDGE('',*,*,#2561,.F.); #1807=ORIENTED_EDGE('',*,*,#2551,.T.); #1808=ORIENTED_EDGE('',*,*,#2559,.T.); #1809=ORIENTED_EDGE('',*,*,#2562,.T.); #1810=ORIENTED_EDGE('',*,*,#2557,.F.); #1811=ORIENTED_EDGE('',*,*,#2552,.T.); #1812=ORIENTED_EDGE('',*,*,#2561,.T.); #1813=ORIENTED_EDGE('',*,*,#2563,.F.); #1814=ORIENTED_EDGE('',*,*,#2564,.F.); #1815=ORIENTED_EDGE('',*,*,#2565,.F.); #1816=ORIENTED_EDGE('',*,*,#2566,.F.); #1817=ORIENTED_EDGE('',*,*,#2567,.F.); #1818=ORIENTED_EDGE('',*,*,#2568,.F.); #1819=ORIENTED_EDGE('',*,*,#2569,.F.); #1820=ORIENTED_EDGE('',*,*,#2570,.F.); #1821=ORIENTED_EDGE('',*,*,#2562,.F.); #1822=ORIENTED_EDGE('',*,*,#2560,.F.); #1823=ORIENTED_EDGE('',*,*,#2558,.F.); #1824=ORIENTED_EDGE('',*,*,#2555,.F.); #1825=ORIENTED_EDGE('',*,*,#2564,.T.); #1826=ORIENTED_EDGE('',*,*,#2563,.T.); #1827=ORIENTED_EDGE('',*,*,#2570,.T.); #1828=ORIENTED_EDGE('',*,*,#2569,.T.); #1829=ORIENTED_EDGE('',*,*,#2568,.T.); #1830=ORIENTED_EDGE('',*,*,#2567,.T.); #1831=ORIENTED_EDGE('',*,*,#2566,.T.); #1832=ORIENTED_EDGE('',*,*,#2565,.T.); #1833=ORIENTED_EDGE('',*,*,#2571,.T.); #1834=ORIENTED_EDGE('',*,*,#2572,.T.); #1835=ORIENTED_EDGE('',*,*,#2573,.T.); #1836=ORIENTED_EDGE('',*,*,#2574,.T.); #1837=ORIENTED_EDGE('',*,*,#2575,.T.); #1838=ORIENTED_EDGE('',*,*,#2576,.F.); #1839=ORIENTED_EDGE('',*,*,#2572,.F.); #1840=ORIENTED_EDGE('',*,*,#2577,.T.); #1841=ORIENTED_EDGE('',*,*,#2578,.T.); #1842=ORIENTED_EDGE('',*,*,#2579,.F.); #1843=ORIENTED_EDGE('',*,*,#2573,.F.); #1844=ORIENTED_EDGE('',*,*,#2576,.T.); #1845=ORIENTED_EDGE('',*,*,#2580,.T.); #1846=ORIENTED_EDGE('',*,*,#2581,.T.); #1847=ORIENTED_EDGE('',*,*,#2582,.T.); #1848=ORIENTED_EDGE('',*,*,#2583,.F.); #1849=ORIENTED_EDGE('',*,*,#2574,.F.); #1850=ORIENTED_EDGE('',*,*,#2579,.T.); #1851=ORIENTED_EDGE('',*,*,#2584,.T.); #1852=ORIENTED_EDGE('',*,*,#2577,.F.); #1853=ORIENTED_EDGE('',*,*,#2571,.F.); #1854=ORIENTED_EDGE('',*,*,#2583,.T.); #1855=ORIENTED_EDGE('',*,*,#2582,.F.); #1856=ORIENTED_EDGE('',*,*,#2585,.T.); #1857=ORIENTED_EDGE('',*,*,#2586,.T.); #1858=ORIENTED_EDGE('',*,*,#2587,.T.); #1859=ORIENTED_EDGE('',*,*,#2580,.F.); #1860=ORIENTED_EDGE('',*,*,#2578,.F.); #1861=ORIENTED_EDGE('',*,*,#2575,.F.); #1862=ORIENTED_EDGE('',*,*,#2584,.F.); #1863=ORIENTED_EDGE('',*,*,#2586,.F.); #1864=ORIENTED_EDGE('',*,*,#2585,.F.); #1865=ORIENTED_EDGE('',*,*,#2581,.F.); #1866=ORIENTED_EDGE('',*,*,#2587,.F.); #1867=VERTEX_POINT('',#4354); #1868=VERTEX_POINT('',#4355); #1869=VERTEX_POINT('',#4357); #1870=VERTEX_POINT('',#4359); #1871=VERTEX_POINT('',#4361); #1872=VERTEX_POINT('',#4363); #1873=VERTEX_POINT('',#4365); #1874=VERTEX_POINT('',#4367); #1875=VERTEX_POINT('',#4369); #1876=VERTEX_POINT('',#4371); #1877=VERTEX_POINT('',#4373); #1878=VERTEX_POINT('',#4375); #1879=VERTEX_POINT('',#4379); #1880=VERTEX_POINT('',#4381); #1881=VERTEX_POINT('',#4384); #1882=VERTEX_POINT('',#4385); #1883=VERTEX_POINT('',#4387); #1884=VERTEX_POINT('',#4389); #1885=VERTEX_POINT('',#4392); #1886=VERTEX_POINT('',#4393); #1887=VERTEX_POINT('',#4395); #1888=VERTEX_POINT('',#4397); #1889=VERTEX_POINT('',#4399); #1890=VERTEX_POINT('',#4401); #1891=VERTEX_POINT('',#4403); #1892=VERTEX_POINT('',#4405); #1893=VERTEX_POINT('',#4409); #1894=VERTEX_POINT('',#4410); #1895=VERTEX_POINT('',#4412); #1896=VERTEX_POINT('',#4414); #1897=VERTEX_POINT('',#4417); #1898=VERTEX_POINT('',#4418); #1899=VERTEX_POINT('',#4420); #1900=VERTEX_POINT('',#4422); #1901=VERTEX_POINT('',#4425); #1902=VERTEX_POINT('',#4426); #1903=VERTEX_POINT('',#4428); #1904=VERTEX_POINT('',#4430); #1905=VERTEX_POINT('',#4432); #1906=VERTEX_POINT('',#4434); #1907=VERTEX_POINT('',#4436); #1908=VERTEX_POINT('',#4438); #1909=VERTEX_POINT('',#4443); #1910=VERTEX_POINT('',#4444); #1911=VERTEX_POINT('',#4446); #1912=VERTEX_POINT('',#4448); #1913=VERTEX_POINT('',#4452); #1914=VERTEX_POINT('',#4454); #1915=VERTEX_POINT('',#4461); #1916=VERTEX_POINT('',#4463); #1917=VERTEX_POINT('',#4467); #1918=VERTEX_POINT('',#4469); #1919=VERTEX_POINT('',#4473); #1920=VERTEX_POINT('',#4475); #1921=VERTEX_POINT('',#4479); #1922=VERTEX_POINT('',#4481); #1923=VERTEX_POINT('',#4485); #1924=VERTEX_POINT('',#4486); #1925=VERTEX_POINT('',#4488); #1926=VERTEX_POINT('',#4491); #1927=VERTEX_POINT('',#4493); #1928=VERTEX_POINT('',#4497); #1929=VERTEX_POINT('',#4499); #1930=VERTEX_POINT('',#4501); #1931=VERTEX_POINT('',#4503); #1932=VERTEX_POINT('',#4505); #1933=VERTEX_POINT('',#4507); #1934=VERTEX_POINT('',#4511); #1935=VERTEX_POINT('',#4523); #1936=VERTEX_POINT('',#4525); #1937=VERTEX_POINT('',#4526); #1938=VERTEX_POINT('',#4528); #1939=VERTEX_POINT('',#4530); #1940=VERTEX_POINT('',#4610); #1941=VERTEX_POINT('',#4612); #1942=VERTEX_POINT('',#4616); #1943=VERTEX_POINT('',#4617); #1944=VERTEX_POINT('',#4622); #1945=VERTEX_POINT('',#4624); #1946=VERTEX_POINT('',#4626); #1947=VERTEX_POINT('',#4628); #1948=VERTEX_POINT('',#4640); #1949=VERTEX_POINT('',#4642); #1950=VERTEX_POINT('',#4645); #1951=VERTEX_POINT('',#4649); #1952=VERTEX_POINT('',#4653); #1953=VERTEX_POINT('',#4655); #1954=VERTEX_POINT('',#4659); #1955=VERTEX_POINT('',#4661); #1956=VERTEX_POINT('',#4665); #1957=VERTEX_POINT('',#4669); #1958=VERTEX_POINT('',#4675); #1959=VERTEX_POINT('',#4679); #1960=VERTEX_POINT('',#4687); #1961=VERTEX_POINT('',#4689); #1962=VERTEX_POINT('',#4692); #1963=VERTEX_POINT('',#4694); #1964=VERTEX_POINT('',#4697); #1965=VERTEX_POINT('',#4701); #1966=VERTEX_POINT('',#4733); #1967=VERTEX_POINT('',#4735); #1968=VERTEX_POINT('',#4737); #1969=VERTEX_POINT('',#4739); #1970=VERTEX_POINT('',#4741); #1971=VERTEX_POINT('',#4743); #1972=VERTEX_POINT('',#4745); #1973=VERTEX_POINT('',#4747); #1974=VERTEX_POINT('',#4751); #1975=VERTEX_POINT('',#4755); #1976=VERTEX_POINT('',#4759); #1977=VERTEX_POINT('',#4763); #1978=VERTEX_POINT('',#4765); #1979=VERTEX_POINT('',#4767); #1980=VERTEX_POINT('',#4769); #1981=VERTEX_POINT('',#4771); #1982=VERTEX_POINT('',#4773); #1983=VERTEX_POINT('',#4775); #1984=VERTEX_POINT('',#4779); #1985=VERTEX_POINT('',#4783); #1986=VERTEX_POINT('',#4789); #1987=VERTEX_POINT('',#4790); #1988=VERTEX_POINT('',#4795); #1989=VERTEX_POINT('',#4796); #1990=VERTEX_POINT('',#4800); #1991=VERTEX_POINT('',#4801); #1992=VERTEX_POINT('',#4803); #1993=VERTEX_POINT('',#4805); #1994=VERTEX_POINT('',#4812); #1995=VERTEX_POINT('',#4814); #1996=VERTEX_POINT('',#4817); #1997=VERTEX_POINT('',#4820); #1998=VERTEX_POINT('',#4823); #1999=VERTEX_POINT('',#4826); #2000=VERTEX_POINT('',#4827); #2001=VERTEX_POINT('',#4829); #2002=VERTEX_POINT('',#4831); #2003=VERTEX_POINT('',#4835); #2004=VERTEX_POINT('',#4837); #2005=VERTEX_POINT('',#4841); #2006=VERTEX_POINT('',#4843); #2007=VERTEX_POINT('',#4847); #2008=VERTEX_POINT('',#4850); #2009=VERTEX_POINT('',#4852); #2010=VERTEX_POINT('',#4856); #2011=VERTEX_POINT('',#4860); #2012=VERTEX_POINT('',#4864); #2013=VERTEX_POINT('',#4865); #2014=VERTEX_POINT('',#4868); #2015=VERTEX_POINT('',#4872); #2016=VERTEX_POINT('',#4876); #2017=VERTEX_POINT('',#4880); #2018=VERTEX_POINT('',#4884); #2019=VERTEX_POINT('',#4886); #2020=VERTEX_POINT('',#4898); #2021=VERTEX_POINT('',#4900); #2022=VERTEX_POINT('',#4903); #2023=VERTEX_POINT('',#4906); #2024=VERTEX_POINT('',#4909); #2025=VERTEX_POINT('',#4912); #2026=VERTEX_POINT('',#4913); #2027=VERTEX_POINT('',#4915); #2028=VERTEX_POINT('',#4917); #2029=VERTEX_POINT('',#4921); #2030=VERTEX_POINT('',#4923); #2031=VERTEX_POINT('',#4927); #2032=VERTEX_POINT('',#4929); #2033=VERTEX_POINT('',#4933); #2034=VERTEX_POINT('',#4936); #2035=VERTEX_POINT('',#4938); #2036=VERTEX_POINT('',#4942); #2037=VERTEX_POINT('',#4946); #2038=VERTEX_POINT('',#4950); #2039=VERTEX_POINT('',#4951); #2040=VERTEX_POINT('',#4953); #2041=VERTEX_POINT('',#4958); #2042=VERTEX_POINT('',#4962); #2043=VERTEX_POINT('',#4966); #2044=VERTEX_POINT('',#4970); #2045=VERTEX_POINT('',#4972); #2046=VERTEX_POINT('',#4984); #2047=VERTEX_POINT('',#4986); #2048=VERTEX_POINT('',#4990); #2049=VERTEX_POINT('',#4991); #2050=VERTEX_POINT('',#4995); #2051=VERTEX_POINT('',#4996); #2052=VERTEX_POINT('',#4998); #2053=VERTEX_POINT('',#5000); #2054=VERTEX_POINT('',#5008); #2055=VERTEX_POINT('',#5010); #2056=VERTEX_POINT('',#5014); #2057=VERTEX_POINT('',#5016); #2058=VERTEX_POINT('',#5026); #2059=VERTEX_POINT('',#5027); #2060=VERTEX_POINT('',#5029); #2061=VERTEX_POINT('',#5031); #2062=VERTEX_POINT('',#5035); #2063=VERTEX_POINT('',#5036); #2064=VERTEX_POINT('',#5041); #2065=VERTEX_POINT('',#5042); #2066=VERTEX_POINT('',#5044); #2067=VERTEX_POINT('',#5046); #2068=VERTEX_POINT('',#5050); #2069=VERTEX_POINT('',#5051); #2070=VERTEX_POINT('',#5053); #2071=VERTEX_POINT('',#5055); #2072=VERTEX_POINT('',#5059); #2073=VERTEX_POINT('',#5061); #2074=VERTEX_POINT('',#5063); #2075=VERTEX_POINT('',#5065); #2076=VERTEX_POINT('',#5067); #2077=VERTEX_POINT('',#5071); #2078=VERTEX_POINT('',#5073); #2079=VERTEX_POINT('',#5076); #2080=VERTEX_POINT('',#5080); #2081=VERTEX_POINT('',#5081); #2082=VERTEX_POINT('',#5083); #2083=VERTEX_POINT('',#5086); #2084=VERTEX_POINT('',#5090); #2085=VERTEX_POINT('',#5091); #2086=VERTEX_POINT('',#5096); #2087=VERTEX_POINT('',#5097); #2088=VERTEX_POINT('',#5105); #2089=VERTEX_POINT('',#5107); #2090=VERTEX_POINT('',#5111); #2091=VERTEX_POINT('',#5114); #2092=VERTEX_POINT('',#5118); #2093=VERTEX_POINT('',#5119); #2094=VERTEX_POINT('',#5121); #2095=VERTEX_POINT('',#5124); #2096=VERTEX_POINT('',#5128); #2097=VERTEX_POINT('',#5130); #2098=VERTEX_POINT('',#5134); #2099=VERTEX_POINT('',#5136); #2100=VERTEX_POINT('',#5140); #2101=VERTEX_POINT('',#5142); #2102=VERTEX_POINT('',#5144); #2103=VERTEX_POINT('',#5146); #2104=VERTEX_POINT('',#5150); #2105=VERTEX_POINT('',#5152); #2106=VERTEX_POINT('',#5156); #2107=VERTEX_POINT('',#5158); #2108=VERTEX_POINT('',#5182); #2109=VERTEX_POINT('',#5183); #2110=VERTEX_POINT('',#5185); #2111=VERTEX_POINT('',#5187); #2112=VERTEX_POINT('',#5191); #2113=VERTEX_POINT('',#5192); #2114=VERTEX_POINT('',#5194); #2115=VERTEX_POINT('',#5196); #2116=VERTEX_POINT('',#5203); #2117=VERTEX_POINT('',#5205); #2118=VERTEX_POINT('',#5209); #2119=VERTEX_POINT('',#5213); #2120=VERTEX_POINT('',#5220); #2121=VERTEX_POINT('',#5221); #2122=VERTEX_POINT('',#5223); #2123=VERTEX_POINT('',#5225); #2124=VERTEX_POINT('',#5229); #2125=VERTEX_POINT('',#5230); #2126=VERTEX_POINT('',#5232); #2127=VERTEX_POINT('',#5234); #2128=VERTEX_POINT('',#5241); #2129=VERTEX_POINT('',#5243); #2130=VERTEX_POINT('',#5247); #2131=VERTEX_POINT('',#5249); #2132=VERTEX_POINT('',#5258); #2133=VERTEX_POINT('',#5259); #2134=VERTEX_POINT('',#5261); #2135=VERTEX_POINT('',#5263); #2136=VERTEX_POINT('',#5267); #2137=VERTEX_POINT('',#5268); #2138=VERTEX_POINT('',#5273); #2139=VERTEX_POINT('',#5277); #2140=VERTEX_POINT('',#5286); #2141=VERTEX_POINT('',#5287); #2142=VERTEX_POINT('',#5294); #2143=VERTEX_POINT('',#5299); #2144=VERTEX_POINT('',#5306); #2145=VERTEX_POINT('',#5311); #2146=VERTEX_POINT('',#5318); #2147=VERTEX_POINT('',#5323); #2148=VERTEX_POINT('',#5333); #2149=VERTEX_POINT('',#5334); #2150=VERTEX_POINT('',#5336); #2151=VERTEX_POINT('',#5338); #2152=VERTEX_POINT('',#5342); #2153=VERTEX_POINT('',#5343); #2154=VERTEX_POINT('',#5348); #2155=VERTEX_POINT('',#5352); #2156=VERTEX_POINT('',#5354); #2157=VERTEX_POINT('',#5356); #2158=VERTEX_POINT('',#5365); #2159=VERTEX_POINT('',#5370); #2160=EDGE_CURVE('',#1867,#1868,#2588,.T.); #2161=EDGE_CURVE('',#1867,#1869,#2589,.T.); #2162=EDGE_CURVE('',#1869,#1870,#892,.T.); #2163=EDGE_CURVE('',#1870,#1871,#2590,.T.); #2164=EDGE_CURVE('',#1871,#1872,#893,.T.); #2165=EDGE_CURVE('',#1873,#1872,#2591,.T.); #2166=EDGE_CURVE('',#1874,#1873,#2592,.T.); #2167=EDGE_CURVE('',#1874,#1875,#2593,.T.); #2168=EDGE_CURVE('',#1875,#1876,#894,.T.); #2169=EDGE_CURVE('',#1877,#1876,#2594,.T.); #2170=EDGE_CURVE('',#1877,#1878,#895,.T.); #2171=EDGE_CURVE('',#1868,#1878,#2595,.T.); #2172=EDGE_CURVE('',#1879,#1879,#896,.T.); #2173=EDGE_CURVE('',#1880,#1880,#897,.T.); #2174=EDGE_CURVE('',#1881,#1882,#2596,.T.); #2175=EDGE_CURVE('',#1882,#1883,#2597,.T.); #2176=EDGE_CURVE('',#1883,#1884,#2598,.T.); #2177=EDGE_CURVE('',#1884,#1881,#2599,.T.); #2178=EDGE_CURVE('',#1885,#1886,#898,.T.); #2179=EDGE_CURVE('',#1887,#1885,#2600,.T.); #2180=EDGE_CURVE('',#1888,#1887,#899,.T.); #2181=EDGE_CURVE('',#1889,#1888,#2601,.T.); #2182=EDGE_CURVE('',#1890,#1889,#900,.T.); #2183=EDGE_CURVE('',#1891,#1890,#2602,.T.); #2184=EDGE_CURVE('',#1892,#1891,#901,.T.); #2185=EDGE_CURVE('',#1886,#1892,#2603,.T.); #2186=EDGE_CURVE('',#1893,#1894,#2604,.T.); #2187=EDGE_CURVE('',#1893,#1895,#2605,.T.); #2188=EDGE_CURVE('',#1895,#1896,#2606,.T.); #2189=EDGE_CURVE('',#1896,#1894,#2607,.T.); #2190=EDGE_CURVE('',#1897,#1898,#2608,.T.); #2191=EDGE_CURVE('',#1898,#1899,#2609,.T.); #2192=EDGE_CURVE('',#1899,#1900,#2610,.T.); #2193=EDGE_CURVE('',#1897,#1900,#2611,.T.); #2194=EDGE_CURVE('',#1901,#1902,#902,.T.); #2195=EDGE_CURVE('',#1902,#1903,#2612,.T.); #2196=EDGE_CURVE('',#1903,#1904,#903,.T.); #2197=EDGE_CURVE('',#1904,#1905,#2613,.T.); #2198=EDGE_CURVE('',#1905,#1906,#904,.T.); #2199=EDGE_CURVE('',#1906,#1907,#2614,.T.); #2200=EDGE_CURVE('',#1907,#1908,#905,.T.); #2201=EDGE_CURVE('',#1908,#1901,#2615,.T.); #2202=EDGE_CURVE('',#1909,#1910,#906,.T.); #2203=EDGE_CURVE('',#1911,#1909,#2616,.T.); #2204=EDGE_CURVE('',#1911,#1912,#907,.T.); #2205=EDGE_CURVE('',#1912,#1910,#2617,.T.); #2206=EDGE_CURVE('',#1910,#1913,#2618,.T.); #2207=EDGE_CURVE('',#1912,#1914,#2619,.T.); #2208=EDGE_CURVE('',#1914,#1913,#2620,.T.); #2209=EDGE_CURVE('',#1913,#1873,#908,.T.); #2210=EDGE_CURVE('',#1914,#1874,#909,.T.); #2211=EDGE_CURVE('',#1867,#1915,#910,.T.); #2212=EDGE_CURVE('',#1868,#1916,#911,.T.); #2213=EDGE_CURVE('',#1915,#1916,#2621,.T.); #2214=EDGE_CURVE('',#1915,#1917,#2622,.T.); #2215=EDGE_CURVE('',#1916,#1918,#2623,.T.); #2216=EDGE_CURVE('',#1917,#1918,#2624,.T.); #2217=EDGE_CURVE('',#1917,#1919,#912,.T.); #2218=EDGE_CURVE('',#1918,#1920,#913,.T.); #2219=EDGE_CURVE('',#1919,#1920,#2625,.T.); #2220=EDGE_CURVE('',#1921,#1877,#2626,.T.); #2221=EDGE_CURVE('',#1921,#1922,#914,.T.); #2222=EDGE_CURVE('',#1922,#1878,#2627,.T.); #2223=EDGE_CURVE('',#1923,#1924,#2628,.T.); #2224=EDGE_CURVE('',#1923,#1925,#2629,.T.); #2225=EDGE_CURVE('',#1925,#1920,#2630,.T.); #2226=EDGE_CURVE('',#1926,#1922,#915,.T.); #2227=EDGE_CURVE('',#1927,#1926,#2631,.T.); #2228=EDGE_CURVE('',#1924,#1927,#916,.T.); #2229=EDGE_CURVE('',#1928,#1911,#2632,.T.); #2230=EDGE_CURVE('',#1928,#1929,#2633,.T.); #2231=EDGE_CURVE('',#1929,#1930,#2634,.T.); #2232=EDGE_CURVE('',#1931,#1930,#917,.T.); #2233=EDGE_CURVE('',#1931,#1932,#2635,.T.); #2234=EDGE_CURVE('',#1933,#1932,#918,.T.); #2235=EDGE_CURVE('',#1933,#1875,#2636,.T.); #2236=EDGE_CURVE('',#1933,#1934,#919,.T.); #2237=EDGE_CURVE('',#1876,#1934,#2637,.T.); #2238=EDGE_CURVE('',#1934,#1921,#2638,.T.); #2239=EDGE_CURVE('',#1883,#1923,#920,.T.); #2240=EDGE_CURVE('',#1924,#1884,#921,.T.); #2241=EDGE_CURVE('',#1929,#1882,#922,.T.); #2242=EDGE_CURVE('',#1881,#1930,#923,.T.); #2243=EDGE_CURVE('',#1935,#1935,#924,.T.); #2244=EDGE_CURVE('',#1936,#1937,#2639,.T.); #2245=EDGE_CURVE('',#1937,#1938,#2640,.T.); #2246=EDGE_CURVE('',#1938,#1939,#2641,.T.); #2247=EDGE_CURVE('',#1939,#1936,#2642,.T.); #2248=EDGE_CURVE('',#1884,#1939,#925,.T.); #2249=EDGE_CURVE('',#1938,#1881,#926,.T.); #2250=EDGE_CURVE('',#1936,#1921,#927,.T.); #2251=EDGE_CURVE('',#1934,#1937,#928,.T.); #2252=EDGE_CURVE('',#1937,#1932,#929,.T.); #2253=EDGE_CURVE('',#1931,#1938,#930,.T.); #2254=EDGE_CURVE('',#1939,#1927,#931,.T.); #2255=EDGE_CURVE('',#1926,#1936,#932,.T.); #2256=EDGE_CURVE('',#1923,#1940,#933,.T.); #2257=EDGE_CURVE('',#1941,#1940,#2643,.T.); #2258=EDGE_CURVE('',#1925,#1941,#934,.T.); #2259=EDGE_CURVE('',#1942,#1943,#2644,.T.); #2260=EDGE_CURVE('',#1942,#1929,#935,.T.); #2261=EDGE_CURVE('',#1943,#1928,#936,.T.); #2262=EDGE_CURVE('',#1944,#1909,#2645,.T.); #2263=EDGE_CURVE('',#1944,#1945,#937,.T.); #2264=EDGE_CURVE('',#1946,#1945,#2646,.T.); #2265=EDGE_CURVE('',#1946,#1947,#938,.T.); #2266=EDGE_CURVE('',#1947,#1919,#2647,.T.); #2267=EDGE_CURVE('',#1943,#1941,#2648,.T.); #2268=EDGE_CURVE('',#1940,#1942,#2649,.T.); #2269=EDGE_CURVE('',#1883,#1940,#939,.T.); #2270=EDGE_CURVE('',#1882,#1942,#940,.T.); #2271=EDGE_CURVE('',#1948,#1948,#941,.T.); #2272=EDGE_CURVE('',#1949,#1949,#942,.T.); #2273=EDGE_CURVE('',#1950,#1950,#943,.T.); #2274=EDGE_CURVE('',#1951,#1951,#944,.T.); #2275=EDGE_CURVE('',#1952,#1900,#2650,.T.); #2276=EDGE_CURVE('',#1953,#1899,#2651,.T.); #2277=EDGE_CURVE('',#1953,#1952,#2652,.T.); #2278=EDGE_CURVE('',#1954,#1894,#2653,.T.); #2279=EDGE_CURVE('',#1955,#1896,#2654,.T.); #2280=EDGE_CURVE('',#1954,#1955,#2655,.T.); #2281=EDGE_CURVE('',#1956,#1895,#2656,.T.); #2282=EDGE_CURVE('',#1956,#1955,#2657,.T.); #2283=EDGE_CURVE('',#1956,#1957,#2658,.T.); #2284=EDGE_CURVE('',#1957,#1954,#2659,.T.); #2285=EDGE_CURVE('',#1957,#1893,#2660,.T.); #2286=EDGE_CURVE('',#1958,#1898,#2661,.T.); #2287=EDGE_CURVE('',#1958,#1953,#2662,.T.); #2288=EDGE_CURVE('',#1959,#1958,#2663,.T.); #2289=EDGE_CURVE('',#1959,#1952,#2664,.T.); #2290=EDGE_CURVE('',#1959,#1897,#2665,.T.); #2291=EDGE_CURVE('',#1960,#1960,#945,.T.); #2292=EDGE_CURVE('',#1961,#1961,#946,.T.); #2293=EDGE_CURVE('',#1962,#1962,#947,.T.); #2294=EDGE_CURVE('',#1963,#1963,#948,.T.); #2295=EDGE_CURVE('',#1964,#1964,#949,.T.); #2296=EDGE_CURVE('',#1965,#1965,#950,.T.); #2297=EDGE_CURVE('',#1872,#1966,#2666,.T.); #2298=EDGE_CURVE('',#1966,#1967,#2667,.T.); #2299=EDGE_CURVE('',#1967,#1968,#951,.T.); #2300=EDGE_CURVE('',#1968,#1969,#2668,.T.); #2301=EDGE_CURVE('',#1969,#1970,#2669,.T.); #2302=EDGE_CURVE('',#1970,#1971,#2670,.T.); #2303=EDGE_CURVE('',#1971,#1972,#952,.T.); #2304=EDGE_CURVE('',#1972,#1973,#2671,.T.); #2305=EDGE_CURVE('',#1973,#1944,#2672,.T.); #2306=EDGE_CURVE('',#1974,#1973,#953,.T.); #2307=EDGE_CURVE('',#1945,#1974,#2673,.T.); #2308=EDGE_CURVE('',#1974,#1975,#2674,.T.); #2309=EDGE_CURVE('',#1975,#1946,#2675,.T.); #2310=EDGE_CURVE('',#1976,#1975,#954,.T.); #2311=EDGE_CURVE('',#1947,#1976,#2676,.T.); #2312=EDGE_CURVE('',#1976,#1977,#2677,.T.); #2313=EDGE_CURVE('',#1978,#1977,#955,.T.); #2314=EDGE_CURVE('',#1978,#1979,#2678,.T.); #2315=EDGE_CURVE('',#1979,#1980,#2679,.T.); #2316=EDGE_CURVE('',#1980,#1981,#2680,.T.); #2317=EDGE_CURVE('',#1981,#1982,#956,.T.); #2318=EDGE_CURVE('',#1982,#1983,#2681,.T.); #2319=EDGE_CURVE('',#1983,#1869,#2682,.T.); #2320=EDGE_CURVE('',#1984,#1983,#957,.T.); #2321=EDGE_CURVE('',#1870,#1984,#2683,.T.); #2322=EDGE_CURVE('',#1985,#1984,#2684,.T.); #2323=EDGE_CURVE('',#1985,#1871,#2685,.T.); #2324=EDGE_CURVE('',#1966,#1985,#958,.T.); #2325=EDGE_CURVE('',#1986,#1987,#2686,.T.); #2326=EDGE_CURVE('',#1986,#1980,#959,.T.); #2327=EDGE_CURVE('',#1979,#1987,#960,.T.); #2328=EDGE_CURVE('',#1988,#1989,#2687,.T.); #2329=EDGE_CURVE('',#1989,#1986,#2688,.T.); #2330=EDGE_CURVE('',#1987,#1988,#2689,.T.); #2331=EDGE_CURVE('',#1990,#1991,#2690,.T.); #2332=EDGE_CURVE('',#1991,#1992,#2691,.T.); #2333=EDGE_CURVE('',#1992,#1993,#2692,.T.); #2334=EDGE_CURVE('',#1993,#1990,#2693,.T.); #2335=EDGE_CURVE('',#1969,#1989,#961,.T.); #2336=EDGE_CURVE('',#1988,#1970,#962,.T.); #2337=EDGE_CURVE('',#1994,#1994,#963,.T.); #2338=EDGE_CURVE('',#1995,#1995,#964,.T.); #2339=EDGE_CURVE('',#1996,#1996,#965,.T.); #2340=EDGE_CURVE('',#1997,#1997,#966,.T.); #2341=EDGE_CURVE('',#1998,#1998,#967,.T.); #2342=EDGE_CURVE('',#1999,#2000,#968,.T.); #2343=EDGE_CURVE('',#2001,#1999,#969,.T.); #2344=EDGE_CURVE('',#2001,#2002,#970,.T.); #2345=EDGE_CURVE('',#2000,#2002,#971,.T.); #2346=EDGE_CURVE('',#2003,#2002,#2694,.T.); #2347=EDGE_CURVE('',#2003,#2004,#972,.T.); #2348=EDGE_CURVE('',#2000,#2004,#2695,.T.); #2349=EDGE_CURVE('',#2003,#2005,#973,.T.); #2350=EDGE_CURVE('',#2006,#2005,#974,.T.); #2351=EDGE_CURVE('',#2004,#2006,#975,.T.); #2352=EDGE_CURVE('',#2007,#2007,#976,.T.); #2353=EDGE_CURVE('',#2004,#2008,#2696,.T.); #2354=EDGE_CURVE('',#2009,#2006,#2697,.T.); #2355=EDGE_CURVE('',#2008,#2009,#977,.T.); #2356=EDGE_CURVE('',#2010,#2008,#2698,.T.); #2357=EDGE_CURVE('',#2010,#2000,#2699,.T.); #2358=EDGE_CURVE('',#1999,#2011,#2700,.T.); #2359=EDGE_CURVE('',#2011,#2010,#978,.T.); #2360=EDGE_CURVE('',#2012,#2013,#2701,.T.); #2361=EDGE_CURVE('',#2012,#2001,#2702,.T.); #2362=EDGE_CURVE('',#2014,#2011,#2703,.T.); #2363=EDGE_CURVE('',#2014,#2013,#979,.T.); #2364=EDGE_CURVE('',#2015,#2012,#980,.T.); #2365=EDGE_CURVE('',#2002,#2015,#2704,.T.); #2366=EDGE_CURVE('',#2016,#2015,#2705,.T.); #2367=EDGE_CURVE('',#2016,#2003,#2706,.T.); #2368=EDGE_CURVE('',#2017,#2016,#981,.T.); #2369=EDGE_CURVE('',#2005,#2017,#2707,.T.); #2370=EDGE_CURVE('',#2018,#2017,#2708,.T.); #2371=EDGE_CURVE('',#2019,#2018,#982,.T.); #2372=EDGE_CURVE('',#2009,#2019,#2709,.T.); #2373=EDGE_CURVE('',#2018,#1972,#2710,.T.); #2374=EDGE_CURVE('',#1977,#2013,#2711,.T.); #2375=EDGE_CURVE('',#2014,#1978,#2712,.T.); #2376=EDGE_CURVE('',#1971,#2019,#2713,.T.); #2377=EDGE_CURVE('',#2020,#2020,#983,.T.); #2378=EDGE_CURVE('',#2021,#2021,#984,.T.); #2379=EDGE_CURVE('',#2022,#2022,#985,.T.); #2380=EDGE_CURVE('',#2023,#2023,#986,.T.); #2381=EDGE_CURVE('',#2024,#2024,#987,.T.); #2382=EDGE_CURVE('',#2025,#2026,#988,.T.); #2383=EDGE_CURVE('',#2027,#2026,#989,.T.); #2384=EDGE_CURVE('',#2028,#2027,#990,.T.); #2385=EDGE_CURVE('',#2025,#2028,#991,.T.); #2386=EDGE_CURVE('',#2025,#2029,#2714,.T.); #2387=EDGE_CURVE('',#2030,#2028,#2715,.T.); #2388=EDGE_CURVE('',#2030,#2029,#992,.T.); #2389=EDGE_CURVE('',#2031,#2029,#993,.T.); #2390=EDGE_CURVE('',#2032,#2030,#994,.T.); #2391=EDGE_CURVE('',#2031,#2032,#995,.T.); #2392=EDGE_CURVE('',#2033,#2033,#996,.T.); #2393=EDGE_CURVE('',#2034,#2031,#2716,.T.); #2394=EDGE_CURVE('',#2035,#2034,#997,.T.); #2395=EDGE_CURVE('',#2029,#2035,#2717,.T.); #2396=EDGE_CURVE('',#2036,#2035,#2718,.T.); #2397=EDGE_CURVE('',#2036,#2025,#2719,.T.); #2398=EDGE_CURVE('',#2026,#2037,#2720,.T.); #2399=EDGE_CURVE('',#2037,#2036,#998,.T.); #2400=EDGE_CURVE('',#2038,#2039,#999,.T.); #2401=EDGE_CURVE('',#2040,#2038,#2721,.T.); #2402=EDGE_CURVE('',#2040,#2027,#2722,.T.); #2403=EDGE_CURVE('',#2039,#2037,#2723,.T.); #2404=EDGE_CURVE('',#2041,#2040,#1000,.T.); #2405=EDGE_CURVE('',#2028,#2041,#2724,.T.); #2406=EDGE_CURVE('',#2041,#2042,#2725,.T.); #2407=EDGE_CURVE('',#2042,#2030,#2726,.T.); #2408=EDGE_CURVE('',#2043,#2042,#1001,.T.); #2409=EDGE_CURVE('',#2032,#2043,#2727,.T.); #2410=EDGE_CURVE('',#2044,#2043,#2728,.T.); #2411=EDGE_CURVE('',#2045,#2044,#1002,.T.); #2412=EDGE_CURVE('',#2034,#2045,#2729,.T.); #2413=EDGE_CURVE('',#2044,#1982,#2730,.T.); #2414=EDGE_CURVE('',#1967,#2038,#2731,.T.); #2415=EDGE_CURVE('',#1981,#2045,#2732,.T.); #2416=EDGE_CURVE('',#2039,#1968,#2733,.T.); #2417=EDGE_CURVE('',#2046,#1993,#2734,.T.); #2418=EDGE_CURVE('',#2046,#2047,#2735,.T.); #2419=EDGE_CURVE('',#1990,#2047,#2736,.T.); #2420=EDGE_CURVE('',#2048,#2049,#2737,.T.); #2421=EDGE_CURVE('',#2047,#2048,#2738,.T.); #2422=EDGE_CURVE('',#2049,#2046,#2739,.T.); #2423=EDGE_CURVE('',#2050,#2051,#2740,.T.); #2424=EDGE_CURVE('',#2052,#2050,#2741,.T.); #2425=EDGE_CURVE('',#2053,#2052,#2742,.T.); #2426=EDGE_CURVE('',#2051,#2053,#2743,.T.); #2427=EDGE_CURVE('',#2049,#1992,#2744,.T.); #2428=EDGE_CURVE('',#1991,#2048,#2745,.T.); #2429=EDGE_CURVE('',#2054,#2051,#2746,.T.); #2430=EDGE_CURVE('',#2055,#2054,#2747,.T.); #2431=EDGE_CURVE('',#2050,#2055,#2748,.T.); #2432=EDGE_CURVE('',#2052,#2056,#2749,.T.); #2433=EDGE_CURVE('',#2057,#2056,#2750,.T.); #2434=EDGE_CURVE('',#2057,#2053,#2751,.T.); #2435=EDGE_CURVE('',#2055,#2056,#2752,.T.); #2436=EDGE_CURVE('',#2057,#2054,#2753,.T.); #2437=EDGE_CURVE('',#2058,#2059,#2754,.T.); #2438=EDGE_CURVE('',#2060,#2058,#2755,.T.); #2439=EDGE_CURVE('',#2061,#2060,#2756,.T.); #2440=EDGE_CURVE('',#2061,#2059,#2757,.T.); #2441=EDGE_CURVE('',#2062,#2063,#2758,.T.); #2442=EDGE_CURVE('',#2063,#2061,#2759,.T.); #2443=EDGE_CURVE('',#2060,#2062,#2760,.T.); #2444=EDGE_CURVE('',#2064,#2065,#2761,.T.); #2445=EDGE_CURVE('',#2064,#2066,#2762,.T.); #2446=EDGE_CURVE('',#2066,#2067,#2763,.T.); #2447=EDGE_CURVE('',#2067,#2065,#2764,.T.); #2448=EDGE_CURVE('',#2068,#2069,#2765,.T.); #2449=EDGE_CURVE('',#2068,#2070,#2766,.T.); #2450=EDGE_CURVE('',#2071,#2070,#2767,.T.); #2451=EDGE_CURVE('',#2071,#2069,#2768,.T.); #2452=EDGE_CURVE('',#2063,#2072,#2769,.T.); #2453=EDGE_CURVE('',#2072,#2073,#2770,.T.); #2454=EDGE_CURVE('',#2073,#2074,#2771,.T.); #2455=EDGE_CURVE('',#2075,#2074,#2772,.T.); #2456=EDGE_CURVE('',#2075,#2076,#2773,.T.); #2457=EDGE_CURVE('',#2059,#2076,#2774,.T.); #2458=EDGE_CURVE('',#2077,#2070,#2775,.T.); #2459=EDGE_CURVE('',#2078,#2077,#2776,.T.); #2460=EDGE_CURVE('',#2078,#2058,#2777,.T.); #2461=EDGE_CURVE('',#2079,#2076,#2778,.T.); #2462=EDGE_CURVE('',#2071,#2079,#2779,.T.); #2463=EDGE_CURVE('',#2080,#2081,#2780,.T.); #2464=EDGE_CURVE('',#2080,#2082,#2781,.T.); #2465=EDGE_CURVE('',#2062,#2082,#2782,.T.); #2466=EDGE_CURVE('',#2078,#2083,#2783,.T.); #2467=EDGE_CURVE('',#2083,#2081,#2784,.T.); #2468=EDGE_CURVE('',#2084,#2085,#2785,.T.); #2469=EDGE_CURVE('',#2082,#2084,#2786,.T.); #2470=EDGE_CURVE('',#2085,#2080,#2787,.T.); #2471=EDGE_CURVE('',#2086,#2087,#2788,.T.); #2472=EDGE_CURVE('',#2073,#2086,#2789,.T.); #2473=EDGE_CURVE('',#2087,#2072,#2790,.T.); #2474=EDGE_CURVE('',#2081,#2074,#2791,.T.); #2475=EDGE_CURVE('',#2086,#2085,#2792,.T.); #2476=EDGE_CURVE('',#2088,#2087,#2793,.T.); #2477=EDGE_CURVE('',#2089,#2084,#2794,.T.); #2478=EDGE_CURVE('',#2089,#2088,#2795,.T.); #2479=EDGE_CURVE('',#2090,#2083,#2796,.T.); #2480=EDGE_CURVE('',#2067,#2090,#2797,.T.); #2481=EDGE_CURVE('',#2066,#2091,#2798,.T.); #2482=EDGE_CURVE('',#2075,#2091,#2799,.T.); #2483=EDGE_CURVE('',#2092,#2093,#2800,.T.); #2484=EDGE_CURVE('',#2094,#2092,#2801,.T.); #2485=EDGE_CURVE('',#2079,#2094,#2802,.T.); #2486=EDGE_CURVE('',#2095,#2091,#2803,.T.); #2487=EDGE_CURVE('',#2093,#2095,#2804,.T.); #2488=EDGE_CURVE('',#2096,#2094,#2805,.T.); #2489=EDGE_CURVE('',#2096,#2097,#2806,.T.); #2490=EDGE_CURVE('',#2069,#2097,#2807,.T.); #2491=EDGE_CURVE('',#2095,#2098,#2808,.T.); #2492=EDGE_CURVE('',#2099,#2064,#2809,.T.); #2493=EDGE_CURVE('',#2099,#2098,#2810,.T.); #2494=EDGE_CURVE('',#2077,#2100,#2811,.T.); #2495=EDGE_CURVE('',#2101,#2100,#2812,.T.); #2496=EDGE_CURVE('',#2102,#2101,#2813,.T.); #2497=EDGE_CURVE('',#2103,#2102,#2814,.T.); #2498=EDGE_CURVE('',#2103,#2090,#2815,.T.); #2499=EDGE_CURVE('',#2100,#2104,#2816,.T.); #2500=EDGE_CURVE('',#2068,#2105,#2817,.T.); #2501=EDGE_CURVE('',#2105,#2104,#2818,.T.); #2502=EDGE_CURVE('',#2106,#2103,#2819,.T.); #2503=EDGE_CURVE('',#2106,#2107,#2820,.T.); #2504=EDGE_CURVE('',#2065,#2107,#2821,.T.); #2505=EDGE_CURVE('',#2107,#2099,#2822,.T.); #2506=EDGE_CURVE('',#2097,#2105,#2823,.T.); #2507=EDGE_CURVE('',#2098,#2106,#2824,.T.); #2508=EDGE_CURVE('',#2089,#2101,#2825,.T.); #2509=EDGE_CURVE('',#2085,#2102,#2826,.T.); #2510=EDGE_CURVE('',#2093,#2086,#2827,.T.); #2511=EDGE_CURVE('',#2092,#2088,#2828,.T.); #2512=EDGE_CURVE('',#2095,#2103,#2829,.T.); #2513=EDGE_CURVE('',#2100,#2094,#2830,.T.); #2514=EDGE_CURVE('',#2104,#2096,#2831,.T.); #2515=EDGE_CURVE('',#2108,#2109,#2832,.T.); #2516=EDGE_CURVE('',#2110,#2108,#1003,.T.); #2517=EDGE_CURVE('',#2110,#2111,#2833,.T.); #2518=EDGE_CURVE('',#2111,#2109,#1004,.T.); #2519=EDGE_CURVE('',#2112,#2113,#2834,.T.); #2520=EDGE_CURVE('',#2113,#2114,#2835,.T.); #2521=EDGE_CURVE('',#2114,#2115,#2836,.T.); #2522=EDGE_CURVE('',#2112,#2115,#2837,.T.); #2523=EDGE_CURVE('',#2109,#2112,#2838,.T.); #2524=EDGE_CURVE('',#2108,#2115,#2839,.T.); #2525=EDGE_CURVE('',#2114,#2116,#2840,.T.); #2526=EDGE_CURVE('',#2117,#2116,#1005,.T.); #2527=EDGE_CURVE('',#2117,#2110,#2841,.T.); #2528=EDGE_CURVE('',#2117,#2118,#2842,.T.); #2529=EDGE_CURVE('',#2111,#2118,#2843,.T.); #2530=EDGE_CURVE('',#2119,#2118,#1006,.T.); #2531=EDGE_CURVE('',#2113,#2119,#2844,.T.); #2532=EDGE_CURVE('',#2119,#2116,#2845,.T.); #2533=EDGE_CURVE('',#2120,#2121,#2846,.T.); #2534=EDGE_CURVE('',#2121,#2122,#2847,.T.); #2535=EDGE_CURVE('',#2122,#2123,#2848,.T.); #2536=EDGE_CURVE('',#2123,#2120,#2849,.T.); #2537=EDGE_CURVE('',#2124,#2125,#1007,.T.); #2538=EDGE_CURVE('',#2125,#2126,#2850,.T.); #2539=EDGE_CURVE('',#2126,#2127,#1008,.T.); #2540=EDGE_CURVE('',#2124,#2127,#2851,.T.); #2541=EDGE_CURVE('',#2123,#2124,#2852,.T.); #2542=EDGE_CURVE('',#2120,#2127,#2853,.T.); #2543=EDGE_CURVE('',#2126,#2128,#2854,.T.); #2544=EDGE_CURVE('',#2128,#2129,#1009,.T.); #2545=EDGE_CURVE('',#2121,#2129,#2855,.T.); #2546=EDGE_CURVE('',#2130,#2122,#2856,.T.); #2547=EDGE_CURVE('',#2131,#2130,#1010,.T.); #2548=EDGE_CURVE('',#2131,#2125,#2857,.T.); #2549=EDGE_CURVE('',#2131,#2128,#2858,.T.); #2550=EDGE_CURVE('',#2129,#2130,#2859,.T.); #2551=EDGE_CURVE('',#2132,#2133,#2860,.T.); #2552=EDGE_CURVE('',#2134,#2132,#2861,.T.); #2553=EDGE_CURVE('',#2135,#2134,#2862,.T.); #2554=EDGE_CURVE('',#2133,#2135,#2863,.T.); #2555=EDGE_CURVE('',#2136,#2137,#2864,.T.); #2556=EDGE_CURVE('',#2135,#2137,#2865,.T.); #2557=EDGE_CURVE('',#2134,#2136,#2866,.T.); #2558=EDGE_CURVE('',#2137,#2138,#2867,.T.); #2559=EDGE_CURVE('',#2133,#2138,#2868,.T.); #2560=EDGE_CURVE('',#2138,#2139,#2869,.T.); #2561=EDGE_CURVE('',#2132,#2139,#2870,.T.); #2562=EDGE_CURVE('',#2139,#2136,#2871,.T.); #2563=EDGE_CURVE('',#2140,#2141,#102,.T.); #2564=EDGE_CURVE('',#2142,#2140,#103,.T.); #2565=EDGE_CURVE('',#2143,#2142,#104,.T.); #2566=EDGE_CURVE('',#2144,#2143,#105,.T.); #2567=EDGE_CURVE('',#2145,#2144,#106,.T.); #2568=EDGE_CURVE('',#2146,#2145,#107,.T.); #2569=EDGE_CURVE('',#2147,#2146,#108,.T.); #2570=EDGE_CURVE('',#2141,#2147,#109,.T.); #2571=EDGE_CURVE('',#2148,#2149,#2872,.T.); #2572=EDGE_CURVE('',#2149,#2150,#2873,.T.); #2573=EDGE_CURVE('',#2150,#2151,#2874,.T.); #2574=EDGE_CURVE('',#2151,#2148,#2875,.T.); #2575=EDGE_CURVE('',#2152,#2153,#2876,.T.); #2576=EDGE_CURVE('',#2150,#2153,#2877,.T.); #2577=EDGE_CURVE('',#2149,#2152,#2878,.T.); #2578=EDGE_CURVE('',#2153,#2154,#2879,.T.); #2579=EDGE_CURVE('',#2151,#2154,#2880,.T.); #2580=EDGE_CURVE('',#2154,#2155,#2881,.T.); #2581=EDGE_CURVE('',#2155,#2156,#2882,.T.); #2582=EDGE_CURVE('',#2156,#2157,#2883,.T.); #2583=EDGE_CURVE('',#2148,#2157,#2884,.T.); #2584=EDGE_CURVE('',#2157,#2152,#2885,.T.); #2585=EDGE_CURVE('',#2156,#2158,#110,.T.); #2586=EDGE_CURVE('',#2158,#2159,#111,.T.); #2587=EDGE_CURVE('',#2159,#2155,#112,.T.); #2588=LINE('',#4353,#2886); #2589=LINE('',#4356,#2887); #2590=LINE('',#4360,#2888); #2591=LINE('',#4364,#2889); #2592=LINE('',#4366,#2890); #2593=LINE('',#4368,#2891); #2594=LINE('',#4372,#2892); #2595=LINE('',#4376,#2893); #2596=LINE('',#4383,#2894); #2597=LINE('',#4386,#2895); #2598=LINE('',#4388,#2896); #2599=LINE('',#4390,#2897); #2600=LINE('',#4394,#2898); #2601=LINE('',#4398,#2899); #2602=LINE('',#4402,#2900); #2603=LINE('',#4406,#2901); #2604=LINE('',#4408,#2902); #2605=LINE('',#4411,#2903); #2606=LINE('',#4413,#2904); #2607=LINE('',#4415,#2905); #2608=LINE('',#4416,#2906); #2609=LINE('',#4419,#2907); #2610=LINE('',#4421,#2908); #2611=LINE('',#4423,#2909); #2612=LINE('',#4427,#2910); #2613=LINE('',#4431,#2911); #2614=LINE('',#4435,#2912); #2615=LINE('',#4439,#2913); #2616=LINE('',#4445,#2914); #2617=LINE('',#4449,#2915); #2618=LINE('',#4451,#2916); #2619=LINE('',#4453,#2917); #2620=LINE('',#4455,#2918); #2621=LINE('',#4464,#2919); #2622=LINE('',#4466,#2920); #2623=LINE('',#4468,#2921); #2624=LINE('',#4470,#2922); #2625=LINE('',#4476,#2923); #2626=LINE('',#4478,#2924); #2627=LINE('',#4482,#2925); #2628=LINE('',#4484,#2926); #2629=LINE('',#4487,#2927); #2630=LINE('',#4489,#2928); #2631=LINE('',#4492,#2929); #2632=LINE('',#4496,#2930); #2633=LINE('',#4498,#2931); #2634=LINE('',#4500,#2932); #2635=LINE('',#4504,#2933); #2636=LINE('',#4508,#2934); #2637=LINE('',#4512,#2935); #2638=LINE('',#4514,#2936); #2639=LINE('',#4524,#2937); #2640=LINE('',#4527,#2938); #2641=LINE('',#4529,#2939); #2642=LINE('',#4531,#2940); #2643=LINE('',#4611,#2941); #2644=LINE('',#4615,#2942); #2645=LINE('',#4621,#2943); #2646=LINE('',#4625,#2944); #2647=LINE('',#4629,#2945); #2648=LINE('',#4630,#2946); #2649=LINE('',#4632,#2947); #2650=LINE('',#4652,#2948); #2651=LINE('',#4654,#2949); #2652=LINE('',#4656,#2950); #2653=LINE('',#4658,#2951); #2654=LINE('',#4660,#2952); #2655=LINE('',#4662,#2953); #2656=LINE('',#4664,#2954); #2657=LINE('',#4666,#2955); #2658=LINE('',#4668,#2956); #2659=LINE('',#4670,#2957); #2660=LINE('',#4672,#2958); #2661=LINE('',#4674,#2959); #2662=LINE('',#4676,#2960); #2663=LINE('',#4678,#2961); #2664=LINE('',#4680,#2962); #2665=LINE('',#4682,#2963); #2666=LINE('',#4732,#2964); #2667=LINE('',#4734,#2965); #2668=LINE('',#4738,#2966); #2669=LINE('',#4740,#2967); #2670=LINE('',#4742,#2968); #2671=LINE('',#4746,#2969); #2672=LINE('',#4748,#2970); #2673=LINE('',#4752,#2971); #2674=LINE('',#4754,#2972); #2675=LINE('',#4756,#2973); #2676=LINE('',#4760,#2974); #2677=LINE('',#4762,#2975); #2678=LINE('',#4766,#2976); #2679=LINE('',#4768,#2977); #2680=LINE('',#4770,#2978); #2681=LINE('',#4774,#2979); #2682=LINE('',#4776,#2980); #2683=LINE('',#4780,#2981); #2684=LINE('',#4782,#2982); #2685=LINE('',#4784,#2983); #2686=LINE('',#4788,#2984); #2687=LINE('',#4794,#2985); #2688=LINE('',#4797,#2986); #2689=LINE('',#4798,#2987); #2690=LINE('',#4799,#2988); #2691=LINE('',#4802,#2989); #2692=LINE('',#4804,#2990); #2693=LINE('',#4806,#2991); #2694=LINE('',#4834,#2992); #2695=LINE('',#4838,#2993); #2696=LINE('',#4849,#2994); #2697=LINE('',#4851,#2995); #2698=LINE('',#4855,#2996); #2699=LINE('',#4857,#2997); #2700=LINE('',#4859,#2998); #2701=LINE('',#4863,#2999); #2702=LINE('',#4866,#3000); #2703=LINE('',#4867,#3001); #2704=LINE('',#4873,#3002); #2705=LINE('',#4875,#3003); #2706=LINE('',#4877,#3004); #2707=LINE('',#4881,#3005); #2708=LINE('',#4883,#3006); #2709=LINE('',#4887,#3007); #2710=LINE('',#4889,#3008); #2711=LINE('',#4890,#3009); #2712=LINE('',#4892,#3010); #2713=LINE('',#4894,#3011); #2714=LINE('',#4920,#3012); #2715=LINE('',#4922,#3013); #2716=LINE('',#4935,#3014); #2717=LINE('',#4939,#3015); #2718=LINE('',#4941,#3016); #2719=LINE('',#4943,#3017); #2720=LINE('',#4945,#3018); #2721=LINE('',#4952,#3019); #2722=LINE('',#4954,#3020); #2723=LINE('',#4955,#3021); #2724=LINE('',#4959,#3022); #2725=LINE('',#4961,#3023); #2726=LINE('',#4963,#3024); #2727=LINE('',#4967,#3025); #2728=LINE('',#4969,#3026); #2729=LINE('',#4973,#3027); #2730=LINE('',#4975,#3028); #2731=LINE('',#4976,#3029); #2732=LINE('',#4978,#3030); #2733=LINE('',#4980,#3031); #2734=LINE('',#4983,#3032); #2735=LINE('',#4985,#3033); #2736=LINE('',#4987,#3034); #2737=LINE('',#4989,#3035); #2738=LINE('',#4992,#3036); #2739=LINE('',#4993,#3037); #2740=LINE('',#4994,#3038); #2741=LINE('',#4997,#3039); #2742=LINE('',#4999,#3040); #2743=LINE('',#5001,#3041); #2744=LINE('',#5003,#3042); #2745=LINE('',#5005,#3043); #2746=LINE('',#5007,#3044); #2747=LINE('',#5009,#3045); #2748=LINE('',#5011,#3046); #2749=LINE('',#5013,#3047); #2750=LINE('',#5015,#3048); #2751=LINE('',#5017,#3049); #2752=LINE('',#5019,#3050); #2753=LINE('',#5020,#3051); #2754=LINE('',#5025,#3052); #2755=LINE('',#5028,#3053); #2756=LINE('',#5030,#3054); #2757=LINE('',#5032,#3055); #2758=LINE('',#5034,#3056); #2759=LINE('',#5037,#3057); #2760=LINE('',#5038,#3058); #2761=LINE('',#5040,#3059); #2762=LINE('',#5043,#3060); #2763=LINE('',#5045,#3061); #2764=LINE('',#5047,#3062); #2765=LINE('',#5049,#3063); #2766=LINE('',#5052,#3064); #2767=LINE('',#5054,#3065); #2768=LINE('',#5056,#3066); #2769=LINE('',#5058,#3067); #2770=LINE('',#5060,#3068); #2771=LINE('',#5062,#3069); #2772=LINE('',#5064,#3070); #2773=LINE('',#5066,#3071); #2774=LINE('',#5068,#3072); #2775=LINE('',#5070,#3073); #2776=LINE('',#5072,#3074); #2777=LINE('',#5074,#3075); #2778=LINE('',#5075,#3076); #2779=LINE('',#5077,#3077); #2780=LINE('',#5079,#3078); #2781=LINE('',#5082,#3079); #2782=LINE('',#5084,#3080); #2783=LINE('',#5085,#3081); #2784=LINE('',#5087,#3082); #2785=LINE('',#5089,#3083); #2786=LINE('',#5092,#3084); #2787=LINE('',#5093,#3085); #2788=LINE('',#5095,#3086); #2789=LINE('',#5098,#3087); #2790=LINE('',#5099,#3088); #2791=LINE('',#5101,#3089); #2792=LINE('',#5102,#3090); #2793=LINE('',#5104,#3091); #2794=LINE('',#5106,#3092); #2795=LINE('',#5108,#3093); #2796=LINE('',#5110,#3094); #2797=LINE('',#5112,#3095); #2798=LINE('',#5113,#3096); #2799=LINE('',#5115,#3097); #2800=LINE('',#5117,#3098); #2801=LINE('',#5120,#3099); #2802=LINE('',#5122,#3100); #2803=LINE('',#5123,#3101); #2804=LINE('',#5125,#3102); #2805=LINE('',#5127,#3103); #2806=LINE('',#5129,#3104); #2807=LINE('',#5131,#3105); #2808=LINE('',#5133,#3106); #2809=LINE('',#5135,#3107); #2810=LINE('',#5137,#3108); #2811=LINE('',#5139,#3109); #2812=LINE('',#5141,#3110); #2813=LINE('',#5143,#3111); #2814=LINE('',#5145,#3112); #2815=LINE('',#5147,#3113); #2816=LINE('',#5149,#3114); #2817=LINE('',#5151,#3115); #2818=LINE('',#5153,#3116); #2819=LINE('',#5155,#3117); #2820=LINE('',#5157,#3118); #2821=LINE('',#5159,#3119); #2822=LINE('',#5161,#3120); #2823=LINE('',#5163,#3121); #2824=LINE('',#5165,#3122); #2825=LINE('',#5167,#3123); #2826=LINE('',#5168,#3124); #2827=LINE('',#5170,#3125); #2828=LINE('',#5171,#3126); #2829=LINE('',#5173,#3127); #2830=LINE('',#5175,#3128); #2831=LINE('',#5177,#3129); #2832=LINE('',#5181,#3130); #2833=LINE('',#5186,#3131); #2834=LINE('',#5190,#3132); #2835=LINE('',#5193,#3133); #2836=LINE('',#5195,#3134); #2837=LINE('',#5197,#3135); #2838=LINE('',#5199,#3136); #2839=LINE('',#5200,#3137); #2840=LINE('',#5202,#3138); #2841=LINE('',#5206,#3139); #2842=LINE('',#5208,#3140); #2843=LINE('',#5210,#3141); #2844=LINE('',#5214,#3142); #2845=LINE('',#5216,#3143); #2846=LINE('',#5219,#3144); #2847=LINE('',#5222,#3145); #2848=LINE('',#5224,#3146); #2849=LINE('',#5226,#3147); #2850=LINE('',#5231,#3148); #2851=LINE('',#5235,#3149); #2852=LINE('',#5237,#3150); #2853=LINE('',#5238,#3151); #2854=LINE('',#5240,#3152); #2855=LINE('',#5244,#3153); #2856=LINE('',#5246,#3154); #2857=LINE('',#5250,#3155); #2858=LINE('',#5252,#3156); #2859=LINE('',#5254,#3157); #2860=LINE('',#5257,#3158); #2861=LINE('',#5260,#3159); #2862=LINE('',#5262,#3160); #2863=LINE('',#5264,#3161); #2864=LINE('',#5266,#3162); #2865=LINE('',#5269,#3163); #2866=LINE('',#5270,#3164); #2867=LINE('',#5272,#3165); #2868=LINE('',#5274,#3166); #2869=LINE('',#5276,#3167); #2870=LINE('',#5278,#3168); #2871=LINE('',#5280,#3169); #2872=LINE('',#5332,#3170); #2873=LINE('',#5335,#3171); #2874=LINE('',#5337,#3172); #2875=LINE('',#5339,#3173); #2876=LINE('',#5341,#3174); #2877=LINE('',#5344,#3175); #2878=LINE('',#5345,#3176); #2879=LINE('',#5347,#3177); #2880=LINE('',#5349,#3178); #2881=LINE('',#5351,#3179); #2882=LINE('',#5353,#3180); #2883=LINE('',#5355,#3181); #2884=LINE('',#5357,#3182); #2885=LINE('',#5359,#3183); #2886=VECTOR('',#3476,1.); #2887=VECTOR('',#3477,1.); #2888=VECTOR('',#3480,1.); #2889=VECTOR('',#3483,1.); #2890=VECTOR('',#3484,1.); #2891=VECTOR('',#3485,1.); #2892=VECTOR('',#3488,1.); #2893=VECTOR('',#3491,1.); #2894=VECTOR('',#3500,1.); #2895=VECTOR('',#3501,1.); #2896=VECTOR('',#3502,1.); #2897=VECTOR('',#3503,1.); #2898=VECTOR('',#3506,1.); #2899=VECTOR('',#3509,1.); #2900=VECTOR('',#3512,1.); #2901=VECTOR('',#3515,1.); #2902=VECTOR('',#3518,1.); #2903=VECTOR('',#3519,1.); #2904=VECTOR('',#3520,1.); #2905=VECTOR('',#3521,1.); #2906=VECTOR('',#3522,1.); #2907=VECTOR('',#3523,1.); #2908=VECTOR('',#3524,1.); #2909=VECTOR('',#3525,1.); #2910=VECTOR('',#3528,1.); #2911=VECTOR('',#3531,1.); #2912=VECTOR('',#3534,1.); #2913=VECTOR('',#3537,1.); #2914=VECTOR('',#3544,1.); #2915=VECTOR('',#3547,1.); #2916=VECTOR('',#3550,1.); #2917=VECTOR('',#3551,1.); #2918=VECTOR('',#3552,1.); #2919=VECTOR('',#3565,1.); #2920=VECTOR('',#3568,1.); #2921=VECTOR('',#3569,1.); #2922=VECTOR('',#3570,1.); #2923=VECTOR('',#3577,1.); #2924=VECTOR('',#3580,1.); #2925=VECTOR('',#3583,1.); #2926=VECTOR('',#3586,1.); #2927=VECTOR('',#3587,1.); #2928=VECTOR('',#3588,1.); #2929=VECTOR('',#3591,1.); #2930=VECTOR('',#3596,1.); #2931=VECTOR('',#3597,1.); #2932=VECTOR('',#3598,1.); #2933=VECTOR('',#3601,1.); #2934=VECTOR('',#3604,1.); #2935=VECTOR('',#3609,1.); #2936=VECTOR('',#3612,1.); #2937=VECTOR('',#3629,1.); #2938=VECTOR('',#3630,1.); #2939=VECTOR('',#3631,1.); #2940=VECTOR('',#3632,1.); #2941=VECTOR('',#3661,1.); #2942=VECTOR('',#3666,1.); #2943=VECTOR('',#3673,1.); #2944=VECTOR('',#3676,1.); #2945=VECTOR('',#3679,1.); #2946=VECTOR('',#3680,1.); #2947=VECTOR('',#3683,1.); #2948=VECTOR('',#3714,1.); #2949=VECTOR('',#3715,1.); #2950=VECTOR('',#3716,1.); #2951=VECTOR('',#3719,1.); #2952=VECTOR('',#3720,1.); #2953=VECTOR('',#3721,1.); #2954=VECTOR('',#3724,1.); #2955=VECTOR('',#3725,1.); #2956=VECTOR('',#3728,1.); #2957=VECTOR('',#3729,1.); #2958=VECTOR('',#3732,1.); #2959=VECTOR('',#3735,1.); #2960=VECTOR('',#3736,1.); #2961=VECTOR('',#3739,1.); #2962=VECTOR('',#3740,1.); #2963=VECTOR('',#3743,1.); #2964=VECTOR('',#3774,1.); #2965=VECTOR('',#3775,1.); #2966=VECTOR('',#3778,1.); #2967=VECTOR('',#3779,1.); #2968=VECTOR('',#3780,1.); #2969=VECTOR('',#3783,1.); #2970=VECTOR('',#3784,1.); #2971=VECTOR('',#3789,1.); #2972=VECTOR('',#3792,1.); #2973=VECTOR('',#3793,1.); #2974=VECTOR('',#3798,1.); #2975=VECTOR('',#3801,1.); #2976=VECTOR('',#3804,1.); #2977=VECTOR('',#3805,1.); #2978=VECTOR('',#3806,1.); #2979=VECTOR('',#3809,1.); #2980=VECTOR('',#3810,1.); #2981=VECTOR('',#3815,1.); #2982=VECTOR('',#3818,1.); #2983=VECTOR('',#3819,1.); #2984=VECTOR('',#3826,1.); #2985=VECTOR('',#3833,1.); #2986=VECTOR('',#3834,1.); #2987=VECTOR('',#3835,1.); #2988=VECTOR('',#3836,1.); #2989=VECTOR('',#3837,1.); #2990=VECTOR('',#3838,1.); #2991=VECTOR('',#3839,1.); #2992=VECTOR('',#3876,1.); #2993=VECTOR('',#3879,1.); #2994=VECTOR('',#3894,1.); #2995=VECTOR('',#3895,1.); #2996=VECTOR('',#3900,1.); #2997=VECTOR('',#3901,1.); #2998=VECTOR('',#3904,1.); #2999=VECTOR('',#3909,1.); #3000=VECTOR('',#3910,1.); #3001=VECTOR('',#3911,1.); #3002=VECTOR('',#3918,1.); #3003=VECTOR('',#3921,1.); #3004=VECTOR('',#3922,1.); #3005=VECTOR('',#3927,1.); #3006=VECTOR('',#3930,1.); #3007=VECTOR('',#3933,1.); #3008=VECTOR('',#3936,1.); #3009=VECTOR('',#3937,1.); #3010=VECTOR('',#3940,1.); #3011=VECTOR('',#3943,1.); #3012=VECTOR('',#3976,1.); #3013=VECTOR('',#3977,1.); #3014=VECTOR('',#3994,1.); #3015=VECTOR('',#3997,1.); #3016=VECTOR('',#4000,1.); #3017=VECTOR('',#4001,1.); #3018=VECTOR('',#4004,1.); #3019=VECTOR('',#4011,1.); #3020=VECTOR('',#4012,1.); #3021=VECTOR('',#4013,1.); #3022=VECTOR('',#4018,1.); #3023=VECTOR('',#4021,1.); #3024=VECTOR('',#4022,1.); #3025=VECTOR('',#4027,1.); #3026=VECTOR('',#4030,1.); #3027=VECTOR('',#4033,1.); #3028=VECTOR('',#4036,1.); #3029=VECTOR('',#4037,1.); #3030=VECTOR('',#4040,1.); #3031=VECTOR('',#4043,1.); #3032=VECTOR('',#4048,1.); #3033=VECTOR('',#4049,1.); #3034=VECTOR('',#4050,1.); #3035=VECTOR('',#4053,1.); #3036=VECTOR('',#4054,1.); #3037=VECTOR('',#4055,1.); #3038=VECTOR('',#4056,1.); #3039=VECTOR('',#4057,1.); #3040=VECTOR('',#4058,1.); #3041=VECTOR('',#4059,1.); #3042=VECTOR('',#4062,1.); #3043=VECTOR('',#4065,1.); #3044=VECTOR('',#4068,1.); #3045=VECTOR('',#4069,1.); #3046=VECTOR('',#4070,1.); #3047=VECTOR('',#4073,1.); #3048=VECTOR('',#4074,1.); #3049=VECTOR('',#4075,1.); #3050=VECTOR('',#4078,1.); #3051=VECTOR('',#4079,1.); #3052=VECTOR('',#4088,1.); #3053=VECTOR('',#4089,1.); #3054=VECTOR('',#4090,1.); #3055=VECTOR('',#4091,1.); #3056=VECTOR('',#4094,1.); #3057=VECTOR('',#4095,1.); #3058=VECTOR('',#4096,1.); #3059=VECTOR('',#4099,1.); #3060=VECTOR('',#4100,1.); #3061=VECTOR('',#4101,1.); #3062=VECTOR('',#4102,1.); #3063=VECTOR('',#4105,1.); #3064=VECTOR('',#4106,1.); #3065=VECTOR('',#4107,1.); #3066=VECTOR('',#4108,1.); #3067=VECTOR('',#4111,1.); #3068=VECTOR('',#4112,1.); #3069=VECTOR('',#4113,1.); #3070=VECTOR('',#4114,1.); #3071=VECTOR('',#4115,1.); #3072=VECTOR('',#4116,1.); #3073=VECTOR('',#4119,1.); #3074=VECTOR('',#4120,1.); #3075=VECTOR('',#4121,1.); #3076=VECTOR('',#4122,1.); #3077=VECTOR('',#4123,1.); #3078=VECTOR('',#4126,1.); #3079=VECTOR('',#4127,1.); #3080=VECTOR('',#4128,1.); #3081=VECTOR('',#4129,1.); #3082=VECTOR('',#4130,1.); #3083=VECTOR('',#4133,1.); #3084=VECTOR('',#4134,1.); #3085=VECTOR('',#4135,1.); #3086=VECTOR('',#4138,1.); #3087=VECTOR('',#4139,1.); #3088=VECTOR('',#4140,1.); #3089=VECTOR('',#4143,1.); #3090=VECTOR('',#4144,1.); #3091=VECTOR('',#4147,1.); #3092=VECTOR('',#4148,1.); #3093=VECTOR('',#4149,1.); #3094=VECTOR('',#4152,1.); #3095=VECTOR('',#4153,1.); #3096=VECTOR('',#4154,1.); #3097=VECTOR('',#4155,1.); #3098=VECTOR('',#4158,1.); #3099=VECTOR('',#4159,1.); #3100=VECTOR('',#4160,1.); #3101=VECTOR('',#4161,1.); #3102=VECTOR('',#4162,1.); #3103=VECTOR('',#4165,1.); #3104=VECTOR('',#4166,1.); #3105=VECTOR('',#4167,1.); #3106=VECTOR('',#4170,1.); #3107=VECTOR('',#4171,1.); #3108=VECTOR('',#4172,1.); #3109=VECTOR('',#4175,1.); #3110=VECTOR('',#4176,1.); #3111=VECTOR('',#4177,1.); #3112=VECTOR('',#4178,1.); #3113=VECTOR('',#4179,1.); #3114=VECTOR('',#4182,1.); #3115=VECTOR('',#4183,1.); #3116=VECTOR('',#4184,1.); #3117=VECTOR('',#4187,1.); #3118=VECTOR('',#4188,1.); #3119=VECTOR('',#4189,1.); #3120=VECTOR('',#4192,1.); #3121=VECTOR('',#4195,1.); #3122=VECTOR('',#4198,1.); #3123=VECTOR('',#4201,1.); #3124=VECTOR('',#4202,1.); #3125=VECTOR('',#4205,1.); #3126=VECTOR('',#4206,1.); #3127=VECTOR('',#4209,1.); #3128=VECTOR('',#4212,1.); #3129=VECTOR('',#4215,1.); #3130=VECTOR('',#4222,1.); #3131=VECTOR('',#4225,1.); #3132=VECTOR('',#4230,1.); #3133=VECTOR('',#4231,1.); #3134=VECTOR('',#4232,1.); #3135=VECTOR('',#4233,1.); #3136=VECTOR('',#4236,1.); #3137=VECTOR('',#4237,1.); #3138=VECTOR('',#4240,1.); #3139=VECTOR('',#4243,1.); #3140=VECTOR('',#4246,1.); #3141=VECTOR('',#4247,1.); #3142=VECTOR('',#4252,1.); #3143=VECTOR('',#4255,1.); #3144=VECTOR('',#4260,1.); #3145=VECTOR('',#4261,1.); #3146=VECTOR('',#4262,1.); #3147=VECTOR('',#4263,1.); #3148=VECTOR('',#4268,1.); #3149=VECTOR('',#4271,1.); #3150=VECTOR('',#4274,1.); #3151=VECTOR('',#4275,1.); #3152=VECTOR('',#4278,1.); #3153=VECTOR('',#4281,1.); #3154=VECTOR('',#4284,1.); #3155=VECTOR('',#4287,1.); #3156=VECTOR('',#4290,1.); #3157=VECTOR('',#4293,1.); #3158=VECTOR('',#4298,1.); #3159=VECTOR('',#4299,1.); #3160=VECTOR('',#4300,1.); #3161=VECTOR('',#4301,1.); #3162=VECTOR('',#4304,1.); #3163=VECTOR('',#4305,1.); #3164=VECTOR('',#4306,1.); #3165=VECTOR('',#4309,1.); #3166=VECTOR('',#4310,1.); #3167=VECTOR('',#4313,1.); #3168=VECTOR('',#4314,1.); #3169=VECTOR('',#4317,1.); #3170=VECTOR('',#4324,1.); #3171=VECTOR('',#4325,1.); #3172=VECTOR('',#4326,1.); #3173=VECTOR('',#4327,1.); #3174=VECTOR('',#4330,1.); #3175=VECTOR('',#4331,1.); #3176=VECTOR('',#4332,1.); #3177=VECTOR('',#4335,1.); #3178=VECTOR('',#4336,1.); #3179=VECTOR('',#4339,1.); #3180=VECTOR('',#4340,1.); #3181=VECTOR('',#4341,1.); #3182=VECTOR('',#4342,1.); #3183=VECTOR('',#4345,1.); #3184=AXIS2_PLACEMENT_3D('',#4352,#3474,#3475); #3185=AXIS2_PLACEMENT_3D('',#4358,#3478,#3479); #3186=AXIS2_PLACEMENT_3D('',#4362,#3481,#3482); #3187=AXIS2_PLACEMENT_3D('',#4370,#3486,#3487); #3188=AXIS2_PLACEMENT_3D('',#4374,#3489,#3490); #3189=AXIS2_PLACEMENT_3D('',#4377,#3492,#3493); #3190=AXIS2_PLACEMENT_3D('',#4378,#3494,#3495); #3191=AXIS2_PLACEMENT_3D('',#4380,#3496,#3497); #3192=AXIS2_PLACEMENT_3D('',#4382,#3498,#3499); #3193=AXIS2_PLACEMENT_3D('',#4391,#3504,#3505); #3194=AXIS2_PLACEMENT_3D('',#4396,#3507,#3508); #3195=AXIS2_PLACEMENT_3D('',#4400,#3510,#3511); #3196=AXIS2_PLACEMENT_3D('',#4404,#3513,#3514); #3197=AXIS2_PLACEMENT_3D('',#4407,#3516,#3517); #3198=AXIS2_PLACEMENT_3D('',#4424,#3526,#3527); #3199=AXIS2_PLACEMENT_3D('',#4429,#3529,#3530); #3200=AXIS2_PLACEMENT_3D('',#4433,#3532,#3533); #3201=AXIS2_PLACEMENT_3D('',#4437,#3535,#3536); #3202=AXIS2_PLACEMENT_3D('',#4440,#3538,#3539); #3203=AXIS2_PLACEMENT_3D('',#4441,#3540,#3541); #3204=AXIS2_PLACEMENT_3D('',#4442,#3542,#3543); #3205=AXIS2_PLACEMENT_3D('',#4447,#3545,#3546); #3206=AXIS2_PLACEMENT_3D('',#4450,#3548,#3549); #3207=AXIS2_PLACEMENT_3D('',#4456,#3553,#3554); #3208=AXIS2_PLACEMENT_3D('',#4457,#3555,#3556); #3209=AXIS2_PLACEMENT_3D('',#4458,#3557,#3558); #3210=AXIS2_PLACEMENT_3D('',#4459,#3559,#3560); #3211=AXIS2_PLACEMENT_3D('',#4460,#3561,#3562); #3212=AXIS2_PLACEMENT_3D('',#4462,#3563,#3564); #3213=AXIS2_PLACEMENT_3D('',#4465,#3566,#3567); #3214=AXIS2_PLACEMENT_3D('',#4471,#3571,#3572); #3215=AXIS2_PLACEMENT_3D('',#4472,#3573,#3574); #3216=AXIS2_PLACEMENT_3D('',#4474,#3575,#3576); #3217=AXIS2_PLACEMENT_3D('',#4477,#3578,#3579); #3218=AXIS2_PLACEMENT_3D('',#4480,#3581,#3582); #3219=AXIS2_PLACEMENT_3D('',#4483,#3584,#3585); #3220=AXIS2_PLACEMENT_3D('',#4490,#3589,#3590); #3221=AXIS2_PLACEMENT_3D('',#4494,#3592,#3593); #3222=AXIS2_PLACEMENT_3D('',#4495,#3594,#3595); #3223=AXIS2_PLACEMENT_3D('',#4502,#3599,#3600); #3224=AXIS2_PLACEMENT_3D('',#4506,#3602,#3603); #3225=AXIS2_PLACEMENT_3D('',#4509,#3605,#3606); #3226=AXIS2_PLACEMENT_3D('',#4510,#3607,#3608); #3227=AXIS2_PLACEMENT_3D('',#4513,#3610,#3611); #3228=AXIS2_PLACEMENT_3D('',#4515,#3613,#3614); #3229=AXIS2_PLACEMENT_3D('',#4516,#3615,#3616); #3230=AXIS2_PLACEMENT_3D('',#4517,#3617,#3618); #3231=AXIS2_PLACEMENT_3D('',#4518,#3619,#3620); #3232=AXIS2_PLACEMENT_3D('',#4519,#3621,#3622); #3233=AXIS2_PLACEMENT_3D('',#4520,#3623,#3624); #3234=AXIS2_PLACEMENT_3D('',#4521,#3625,#3626); #3235=AXIS2_PLACEMENT_3D('',#4522,#3627,#3628); #3236=AXIS2_PLACEMENT_3D('',#4532,#3633,#3634); #3237=AXIS2_PLACEMENT_3D('',#4533,#3635,#3636); #3238=AXIS2_PLACEMENT_3D('',#4534,#3637,#3638); #3239=AXIS2_PLACEMENT_3D('',#4535,#3639,#3640); #3240=AXIS2_PLACEMENT_3D('',#4536,#3641,#3642); #3241=AXIS2_PLACEMENT_3D('',#4537,#3643,#3644); #3242=AXIS2_PLACEMENT_3D('',#4538,#3645,#3646); #3243=AXIS2_PLACEMENT_3D('',#4539,#3647,#3648); #3244=AXIS2_PLACEMENT_3D('',#4556,#3649,#3650); #3245=AXIS2_PLACEMENT_3D('',#4573,#3651,#3652); #3246=AXIS2_PLACEMENT_3D('',#4574,#3653,#3654); #3247=AXIS2_PLACEMENT_3D('',#4575,#3655,#3656); #3248=AXIS2_PLACEMENT_3D('',#4576,#3657,#3658); #3249=AXIS2_PLACEMENT_3D('',#4609,#3659,#3660); #3250=AXIS2_PLACEMENT_3D('',#4613,#3662,#3663); #3251=AXIS2_PLACEMENT_3D('',#4614,#3664,#3665); #3252=AXIS2_PLACEMENT_3D('',#4618,#3667,#3668); #3253=AXIS2_PLACEMENT_3D('',#4619,#3669,#3670); #3254=AXIS2_PLACEMENT_3D('',#4620,#3671,#3672); #3255=AXIS2_PLACEMENT_3D('',#4623,#3674,#3675); #3256=AXIS2_PLACEMENT_3D('',#4627,#3677,#3678); #3257=AXIS2_PLACEMENT_3D('',#4631,#3681,#3682); #3258=AXIS2_PLACEMENT_3D('',#4633,#3684,#3685); #3259=AXIS2_PLACEMENT_3D('',#4634,#3686,#3687); #3260=AXIS2_PLACEMENT_3D('',#4635,#3688,#3689); #3261=AXIS2_PLACEMENT_3D('',#4636,#3690,#3691); #3262=AXIS2_PLACEMENT_3D('',#4637,#3692,#3693); #3263=AXIS2_PLACEMENT_3D('',#4638,#3694,#3695); #3264=AXIS2_PLACEMENT_3D('',#4639,#3696,#3697); #3265=AXIS2_PLACEMENT_3D('',#4641,#3698,#3699); #3266=AXIS2_PLACEMENT_3D('',#4643,#3700,#3701); #3267=AXIS2_PLACEMENT_3D('',#4644,#3702,#3703); #3268=AXIS2_PLACEMENT_3D('',#4646,#3704,#3705); #3269=AXIS2_PLACEMENT_3D('',#4647,#3706,#3707); #3270=AXIS2_PLACEMENT_3D('',#4648,#3708,#3709); #3271=AXIS2_PLACEMENT_3D('',#4650,#3710,#3711); #3272=AXIS2_PLACEMENT_3D('',#4651,#3712,#3713); #3273=AXIS2_PLACEMENT_3D('',#4657,#3717,#3718); #3274=AXIS2_PLACEMENT_3D('',#4663,#3722,#3723); #3275=AXIS2_PLACEMENT_3D('',#4667,#3726,#3727); #3276=AXIS2_PLACEMENT_3D('',#4671,#3730,#3731); #3277=AXIS2_PLACEMENT_3D('',#4673,#3733,#3734); #3278=AXIS2_PLACEMENT_3D('',#4677,#3737,#3738); #3279=AXIS2_PLACEMENT_3D('',#4681,#3741,#3742); #3280=AXIS2_PLACEMENT_3D('',#4683,#3744,#3745); #3281=AXIS2_PLACEMENT_3D('',#4684,#3746,#3747); #3282=AXIS2_PLACEMENT_3D('',#4685,#3748,#3749); #3283=AXIS2_PLACEMENT_3D('',#4686,#3750,#3751); #3284=AXIS2_PLACEMENT_3D('',#4688,#3752,#3753); #3285=AXIS2_PLACEMENT_3D('',#4690,#3754,#3755); #3286=AXIS2_PLACEMENT_3D('',#4691,#3756,#3757); #3287=AXIS2_PLACEMENT_3D('',#4693,#3758,#3759); #3288=AXIS2_PLACEMENT_3D('',#4695,#3760,#3761); #3289=AXIS2_PLACEMENT_3D('',#4696,#3762,#3763); #3290=AXIS2_PLACEMENT_3D('',#4698,#3764,#3765); #3291=AXIS2_PLACEMENT_3D('',#4699,#3766,#3767); #3292=AXIS2_PLACEMENT_3D('',#4700,#3768,#3769); #3293=AXIS2_PLACEMENT_3D('',#4702,#3770,#3771); #3294=AXIS2_PLACEMENT_3D('',#4703,#3772,#3773); #3295=AXIS2_PLACEMENT_3D('',#4736,#3776,#3777); #3296=AXIS2_PLACEMENT_3D('',#4744,#3781,#3782); #3297=AXIS2_PLACEMENT_3D('',#4749,#3785,#3786); #3298=AXIS2_PLACEMENT_3D('',#4750,#3787,#3788); #3299=AXIS2_PLACEMENT_3D('',#4753,#3790,#3791); #3300=AXIS2_PLACEMENT_3D('',#4757,#3794,#3795); #3301=AXIS2_PLACEMENT_3D('',#4758,#3796,#3797); #3302=AXIS2_PLACEMENT_3D('',#4761,#3799,#3800); #3303=AXIS2_PLACEMENT_3D('',#4764,#3802,#3803); #3304=AXIS2_PLACEMENT_3D('',#4772,#3807,#3808); #3305=AXIS2_PLACEMENT_3D('',#4777,#3811,#3812); #3306=AXIS2_PLACEMENT_3D('',#4778,#3813,#3814); #3307=AXIS2_PLACEMENT_3D('',#4781,#3816,#3817); #3308=AXIS2_PLACEMENT_3D('',#4785,#3820,#3821); #3309=AXIS2_PLACEMENT_3D('',#4786,#3822,#3823); #3310=AXIS2_PLACEMENT_3D('',#4787,#3824,#3825); #3311=AXIS2_PLACEMENT_3D('',#4791,#3827,#3828); #3312=AXIS2_PLACEMENT_3D('',#4792,#3829,#3830); #3313=AXIS2_PLACEMENT_3D('',#4793,#3831,#3832); #3314=AXIS2_PLACEMENT_3D('',#4807,#3840,#3841); #3315=AXIS2_PLACEMENT_3D('',#4808,#3842,#3843); #3316=AXIS2_PLACEMENT_3D('',#4809,#3844,#3845); #3317=AXIS2_PLACEMENT_3D('',#4810,#3846,#3847); #3318=AXIS2_PLACEMENT_3D('',#4811,#3848,#3849); #3319=AXIS2_PLACEMENT_3D('',#4813,#3850,#3851); #3320=AXIS2_PLACEMENT_3D('',#4815,#3852,#3853); #3321=AXIS2_PLACEMENT_3D('',#4816,#3854,#3855); #3322=AXIS2_PLACEMENT_3D('',#4818,#3856,#3857); #3323=AXIS2_PLACEMENT_3D('',#4819,#3858,#3859); #3324=AXIS2_PLACEMENT_3D('',#4821,#3860,#3861); #3325=AXIS2_PLACEMENT_3D('',#4822,#3862,#3863); #3326=AXIS2_PLACEMENT_3D('',#4824,#3864,#3865); #3327=AXIS2_PLACEMENT_3D('',#4825,#3866,#3867); #3328=AXIS2_PLACEMENT_3D('',#4828,#3868,#3869); #3329=AXIS2_PLACEMENT_3D('',#4830,#3870,#3871); #3330=AXIS2_PLACEMENT_3D('',#4832,#3872,#3873); #3331=AXIS2_PLACEMENT_3D('',#4833,#3874,#3875); #3332=AXIS2_PLACEMENT_3D('',#4836,#3877,#3878); #3333=AXIS2_PLACEMENT_3D('',#4839,#3880,#3881); #3334=AXIS2_PLACEMENT_3D('',#4840,#3882,#3883); #3335=AXIS2_PLACEMENT_3D('',#4842,#3884,#3885); #3336=AXIS2_PLACEMENT_3D('',#4844,#3886,#3887); #3337=AXIS2_PLACEMENT_3D('',#4845,#3888,#3889); #3338=AXIS2_PLACEMENT_3D('',#4846,#3890,#3891); #3339=AXIS2_PLACEMENT_3D('',#4848,#3892,#3893); #3340=AXIS2_PLACEMENT_3D('',#4853,#3896,#3897); #3341=AXIS2_PLACEMENT_3D('',#4854,#3898,#3899); #3342=AXIS2_PLACEMENT_3D('',#4858,#3902,#3903); #3343=AXIS2_PLACEMENT_3D('',#4861,#3905,#3906); #3344=AXIS2_PLACEMENT_3D('',#4862,#3907,#3908); #3345=AXIS2_PLACEMENT_3D('',#4869,#3912,#3913); #3346=AXIS2_PLACEMENT_3D('',#4870,#3914,#3915); #3347=AXIS2_PLACEMENT_3D('',#4871,#3916,#3917); #3348=AXIS2_PLACEMENT_3D('',#4874,#3919,#3920); #3349=AXIS2_PLACEMENT_3D('',#4878,#3923,#3924); #3350=AXIS2_PLACEMENT_3D('',#4879,#3925,#3926); #3351=AXIS2_PLACEMENT_3D('',#4882,#3928,#3929); #3352=AXIS2_PLACEMENT_3D('',#4885,#3931,#3932); #3353=AXIS2_PLACEMENT_3D('',#4888,#3934,#3935); #3354=AXIS2_PLACEMENT_3D('',#4891,#3938,#3939); #3355=AXIS2_PLACEMENT_3D('',#4893,#3941,#3942); #3356=AXIS2_PLACEMENT_3D('',#4895,#3944,#3945); #3357=AXIS2_PLACEMENT_3D('',#4896,#3946,#3947); #3358=AXIS2_PLACEMENT_3D('',#4897,#3948,#3949); #3359=AXIS2_PLACEMENT_3D('',#4899,#3950,#3951); #3360=AXIS2_PLACEMENT_3D('',#4901,#3952,#3953); #3361=AXIS2_PLACEMENT_3D('',#4902,#3954,#3955); #3362=AXIS2_PLACEMENT_3D('',#4904,#3956,#3957); #3363=AXIS2_PLACEMENT_3D('',#4905,#3958,#3959); #3364=AXIS2_PLACEMENT_3D('',#4907,#3960,#3961); #3365=AXIS2_PLACEMENT_3D('',#4908,#3962,#3963); #3366=AXIS2_PLACEMENT_3D('',#4910,#3964,#3965); #3367=AXIS2_PLACEMENT_3D('',#4911,#3966,#3967); #3368=AXIS2_PLACEMENT_3D('',#4914,#3968,#3969); #3369=AXIS2_PLACEMENT_3D('',#4916,#3970,#3971); #3370=AXIS2_PLACEMENT_3D('',#4918,#3972,#3973); #3371=AXIS2_PLACEMENT_3D('',#4919,#3974,#3975); #3372=AXIS2_PLACEMENT_3D('',#4924,#3978,#3979); #3373=AXIS2_PLACEMENT_3D('',#4925,#3980,#3981); #3374=AXIS2_PLACEMENT_3D('',#4926,#3982,#3983); #3375=AXIS2_PLACEMENT_3D('',#4928,#3984,#3985); #3376=AXIS2_PLACEMENT_3D('',#4930,#3986,#3987); #3377=AXIS2_PLACEMENT_3D('',#4931,#3988,#3989); #3378=AXIS2_PLACEMENT_3D('',#4932,#3990,#3991); #3379=AXIS2_PLACEMENT_3D('',#4934,#3992,#3993); #3380=AXIS2_PLACEMENT_3D('',#4937,#3995,#3996); #3381=AXIS2_PLACEMENT_3D('',#4940,#3998,#3999); #3382=AXIS2_PLACEMENT_3D('',#4944,#4002,#4003); #3383=AXIS2_PLACEMENT_3D('',#4947,#4005,#4006); #3384=AXIS2_PLACEMENT_3D('',#4948,#4007,#4008); #3385=AXIS2_PLACEMENT_3D('',#4949,#4009,#4010); #3386=AXIS2_PLACEMENT_3D('',#4956,#4014,#4015); #3387=AXIS2_PLACEMENT_3D('',#4957,#4016,#4017); #3388=AXIS2_PLACEMENT_3D('',#4960,#4019,#4020); #3389=AXIS2_PLACEMENT_3D('',#4964,#4023,#4024); #3390=AXIS2_PLACEMENT_3D('',#4965,#4025,#4026); #3391=AXIS2_PLACEMENT_3D('',#4968,#4028,#4029); #3392=AXIS2_PLACEMENT_3D('',#4971,#4031,#4032); #3393=AXIS2_PLACEMENT_3D('',#4974,#4034,#4035); #3394=AXIS2_PLACEMENT_3D('',#4977,#4038,#4039); #3395=AXIS2_PLACEMENT_3D('',#4979,#4041,#4042); #3396=AXIS2_PLACEMENT_3D('',#4981,#4044,#4045); #3397=AXIS2_PLACEMENT_3D('',#4982,#4046,#4047); #3398=AXIS2_PLACEMENT_3D('',#4988,#4051,#4052); #3399=AXIS2_PLACEMENT_3D('',#5002,#4060,#4061); #3400=AXIS2_PLACEMENT_3D('',#5004,#4063,#4064); #3401=AXIS2_PLACEMENT_3D('',#5006,#4066,#4067); #3402=AXIS2_PLACEMENT_3D('',#5012,#4071,#4072); #3403=AXIS2_PLACEMENT_3D('',#5018,#4076,#4077); #3404=AXIS2_PLACEMENT_3D('',#5021,#4080,#4081); #3405=AXIS2_PLACEMENT_3D('',#5022,#4082,#4083); #3406=AXIS2_PLACEMENT_3D('',#5023,#4084,#4085); #3407=AXIS2_PLACEMENT_3D('',#5024,#4086,#4087); #3408=AXIS2_PLACEMENT_3D('',#5033,#4092,#4093); #3409=AXIS2_PLACEMENT_3D('',#5039,#4097,#4098); #3410=AXIS2_PLACEMENT_3D('',#5048,#4103,#4104); #3411=AXIS2_PLACEMENT_3D('',#5057,#4109,#4110); #3412=AXIS2_PLACEMENT_3D('',#5069,#4117,#4118); #3413=AXIS2_PLACEMENT_3D('',#5078,#4124,#4125); #3414=AXIS2_PLACEMENT_3D('',#5088,#4131,#4132); #3415=AXIS2_PLACEMENT_3D('',#5094,#4136,#4137); #3416=AXIS2_PLACEMENT_3D('',#5100,#4141,#4142); #3417=AXIS2_PLACEMENT_3D('',#5103,#4145,#4146); #3418=AXIS2_PLACEMENT_3D('',#5109,#4150,#4151); #3419=AXIS2_PLACEMENT_3D('',#5116,#4156,#4157); #3420=AXIS2_PLACEMENT_3D('',#5126,#4163,#4164); #3421=AXIS2_PLACEMENT_3D('',#5132,#4168,#4169); #3422=AXIS2_PLACEMENT_3D('',#5138,#4173,#4174); #3423=AXIS2_PLACEMENT_3D('',#5148,#4180,#4181); #3424=AXIS2_PLACEMENT_3D('',#5154,#4185,#4186); #3425=AXIS2_PLACEMENT_3D('',#5160,#4190,#4191); #3426=AXIS2_PLACEMENT_3D('',#5162,#4193,#4194); #3427=AXIS2_PLACEMENT_3D('',#5164,#4196,#4197); #3428=AXIS2_PLACEMENT_3D('',#5166,#4199,#4200); #3429=AXIS2_PLACEMENT_3D('',#5169,#4203,#4204); #3430=AXIS2_PLACEMENT_3D('',#5172,#4207,#4208); #3431=AXIS2_PLACEMENT_3D('',#5174,#4210,#4211); #3432=AXIS2_PLACEMENT_3D('',#5176,#4213,#4214); #3433=AXIS2_PLACEMENT_3D('',#5178,#4216,#4217); #3434=AXIS2_PLACEMENT_3D('',#5179,#4218,#4219); #3435=AXIS2_PLACEMENT_3D('',#5180,#4220,#4221); #3436=AXIS2_PLACEMENT_3D('',#5184,#4223,#4224); #3437=AXIS2_PLACEMENT_3D('',#5188,#4226,#4227); #3438=AXIS2_PLACEMENT_3D('',#5189,#4228,#4229); #3439=AXIS2_PLACEMENT_3D('',#5198,#4234,#4235); #3440=AXIS2_PLACEMENT_3D('',#5201,#4238,#4239); #3441=AXIS2_PLACEMENT_3D('',#5204,#4241,#4242); #3442=AXIS2_PLACEMENT_3D('',#5207,#4244,#4245); #3443=AXIS2_PLACEMENT_3D('',#5211,#4248,#4249); #3444=AXIS2_PLACEMENT_3D('',#5212,#4250,#4251); #3445=AXIS2_PLACEMENT_3D('',#5215,#4253,#4254); #3446=AXIS2_PLACEMENT_3D('',#5217,#4256,#4257); #3447=AXIS2_PLACEMENT_3D('',#5218,#4258,#4259); #3448=AXIS2_PLACEMENT_3D('',#5227,#4264,#4265); #3449=AXIS2_PLACEMENT_3D('',#5228,#4266,#4267); #3450=AXIS2_PLACEMENT_3D('',#5233,#4269,#4270); #3451=AXIS2_PLACEMENT_3D('',#5236,#4272,#4273); #3452=AXIS2_PLACEMENT_3D('',#5239,#4276,#4277); #3453=AXIS2_PLACEMENT_3D('',#5242,#4279,#4280); #3454=AXIS2_PLACEMENT_3D('',#5245,#4282,#4283); #3455=AXIS2_PLACEMENT_3D('',#5248,#4285,#4286); #3456=AXIS2_PLACEMENT_3D('',#5251,#4288,#4289); #3457=AXIS2_PLACEMENT_3D('',#5253,#4291,#4292); #3458=AXIS2_PLACEMENT_3D('',#5255,#4294,#4295); #3459=AXIS2_PLACEMENT_3D('',#5256,#4296,#4297); #3460=AXIS2_PLACEMENT_3D('',#5265,#4302,#4303); #3461=AXIS2_PLACEMENT_3D('',#5271,#4307,#4308); #3462=AXIS2_PLACEMENT_3D('',#5275,#4311,#4312); #3463=AXIS2_PLACEMENT_3D('',#5279,#4315,#4316); #3464=AXIS2_PLACEMENT_3D('',#5281,#4318,#4319); #3465=AXIS2_PLACEMENT_3D('',#5330,#4320,#4321); #3466=AXIS2_PLACEMENT_3D('',#5331,#4322,#4323); #3467=AXIS2_PLACEMENT_3D('',#5340,#4328,#4329); #3468=AXIS2_PLACEMENT_3D('',#5346,#4333,#4334); #3469=AXIS2_PLACEMENT_3D('',#5350,#4337,#4338); #3470=AXIS2_PLACEMENT_3D('',#5358,#4343,#4344); #3471=AXIS2_PLACEMENT_3D('',#5360,#4346,#4347); #3472=AXIS2_PLACEMENT_3D('',#5375,#4348,#4349); #3473=AXIS2_PLACEMENT_3D('',#5376,#4350,#4351); #3474=DIRECTION('',(0.,0.,1.)); #3475=DIRECTION('',(1.,0.,0.)); #3476=DIRECTION('',(1.,0.,0.)); #3477=DIRECTION('',(0.,-1.,-5.77359988102427E-15)); #3478=DIRECTION('',(0.,5.77359988102427E-15,-1.)); #3479=DIRECTION('',(0.,-1.,-5.9818050895752E-15)); #3480=DIRECTION('',(-1.,0.,0.)); #3481=DIRECTION('',(0.,5.77359988102427E-15,-1.)); #3482=DIRECTION('',(0.,-1.,-5.9818050895752E-15)); #3483=DIRECTION('',(0.,-1.,-5.77359988102427E-15)); #3484=DIRECTION('',(1.,0.,0.)); #3485=DIRECTION('',(0.,-1.,-5.77359988102427E-15)); #3486=DIRECTION('',(0.,-5.77359988102427E-15,1.)); #3487=DIRECTION('',(0.,-1.,-5.78241158658936E-15)); #3488=DIRECTION('',(-1.,0.,0.)); #3489=DIRECTION('',(0.,0.,1.)); #3490=DIRECTION('',(0.,-1.,0.)); #3491=DIRECTION('',(0.,-1.,-5.77359988102427E-15)); #3492=DIRECTION('',(0.,-5.77359988102427E-15,1.)); #3493=DIRECTION('',(0.,-1.,-5.77359340891981E-15)); #3494=DIRECTION('',(0.,0.,-1.)); #3495=DIRECTION('',(1.,0.,0.)); #3496=DIRECTION('',(0.,0.,-1.)); #3497=DIRECTION('',(-1.,0.,0.)); #3498=DIRECTION('',(0.,0.,-1.)); #3499=DIRECTION('',(0.,1.,0.)); #3500=DIRECTION('',(0.,1.,0.)); #3501=DIRECTION('',(1.,0.,0.)); #3502=DIRECTION('',(0.,-1.,0.)); #3503=DIRECTION('',(-1.,0.,0.)); #3504=DIRECTION('',(0.,0.,-1.)); #3505=DIRECTION('',(-1.,0.,0.)); #3506=DIRECTION('',(1.,0.,0.)); #3507=DIRECTION('',(0.,0.,-1.)); #3508=DIRECTION('',(-1.,0.,0.)); #3509=DIRECTION('',(0.,1.,0.)); #3510=DIRECTION('',(0.,0.,-1.)); #3511=DIRECTION('',(-1.,0.,0.)); #3512=DIRECTION('',(-1.,0.,0.)); #3513=DIRECTION('',(0.,0.,-1.)); #3514=DIRECTION('',(-1.,0.,0.)); #3515=DIRECTION('',(0.,-1.,0.)); #3516=DIRECTION('',(0.,0.,-1.)); #3517=DIRECTION('',(0.,1.,0.)); #3518=DIRECTION('',(0.,0.,-1.)); #3519=DIRECTION('',(-1.,0.,0.)); #3520=DIRECTION('',(0.,0.,-1.)); #3521=DIRECTION('',(1.,0.,0.)); #3522=DIRECTION('',(-1.,0.,0.)); #3523=DIRECTION('',(0.,0.,-1.)); #3524=DIRECTION('',(1.,0.,0.)); #3525=DIRECTION('',(0.,0.,-1.)); #3526=DIRECTION('',(0.,1.,0.)); #3527=DIRECTION('',(0.,0.,1.)); #3528=DIRECTION('',(1.,0.,0.)); #3529=DIRECTION('',(0.,1.,0.)); #3530=DIRECTION('',(0.,0.,1.)); #3531=DIRECTION('',(0.,0.,-1.)); #3532=DIRECTION('',(0.,1.,0.)); #3533=DIRECTION('',(0.,0.,1.)); #3534=DIRECTION('',(-1.,0.,0.)); #3535=DIRECTION('',(0.,1.,0.)); #3536=DIRECTION('',(0.,0.,1.)); #3537=DIRECTION('',(0.,0.,1.)); #3538=DIRECTION('',(0.,1.,0.)); #3539=DIRECTION('',(0.,0.,-1.)); #3540=DIRECTION('',(0.,0.,-1.)); #3541=DIRECTION('',(0.,1.,0.)); #3542=DIRECTION('',(-1.,0.,0.)); #3543=DIRECTION('',(0.,0.,1.)); #3544=DIRECTION('',(1.,0.,0.)); #3545=DIRECTION('',(-1.,0.,0.)); #3546=DIRECTION('',(0.,0.,1.)); #3547=DIRECTION('',(1.,0.,0.)); #3548=DIRECTION('',(-1.,0.,0.)); #3549=DIRECTION('',(0.,0.,1.)); #3550=DIRECTION('',(0.,-1.,0.)); #3551=DIRECTION('',(0.,-1.,0.)); #3552=DIRECTION('',(1.,0.,0.)); #3553=DIRECTION('',(0.,0.,1.)); #3554=DIRECTION('',(0.,-1.,0.)); #3555=DIRECTION('',(-1.,0.,0.)); #3556=DIRECTION('',(0.,0.,1.)); #3557=DIRECTION('',(-1.,0.,0.)); #3558=DIRECTION('',(0.,-1.,0.)); #3559=DIRECTION('',(1.,0.,0.)); #3560=DIRECTION('',(0.,-1.,0.)); #3561=DIRECTION('',(1.,0.,0.)); #3562=DIRECTION('',(0.,0.,-1.)); #3563=DIRECTION('',(1.,0.,0.)); #3564=DIRECTION('',(0.,1.,0.)); #3565=DIRECTION('',(1.,0.,0.)); #3566=DIRECTION('',(-1.,0.,0.)); #3567=DIRECTION('',(0.,-1.,0.)); #3568=DIRECTION('',(0.,1.,0.)); #3569=DIRECTION('',(0.,1.,0.)); #3570=DIRECTION('',(1.,0.,0.)); #3571=DIRECTION('',(0.,0.,1.)); #3572=DIRECTION('',(0.,-1.,0.)); #3573=DIRECTION('',(1.,0.,0.)); #3574=DIRECTION('',(0.,0.,-1.)); #3575=DIRECTION('',(1.,0.,0.)); #3576=DIRECTION('',(0.,0.,-1.)); #3577=DIRECTION('',(1.,0.,0.)); #3578=DIRECTION('',(-1.,0.,0.)); #3579=DIRECTION('',(0.,0.,1.)); #3580=DIRECTION('',(0.,0.,1.)); #3581=DIRECTION('',(0.,0.,1.)); #3582=DIRECTION('',(0.,-1.,0.)); #3583=DIRECTION('',(0.,0.,1.)); #3584=DIRECTION('',(0.,0.,1.)); #3585=DIRECTION('',(0.,-1.,0.)); #3586=DIRECTION('',(0.,-1.,0.)); #3587=DIRECTION('',(0.,0.,1.)); #3588=DIRECTION('',(0.,-1.,-5.77359988102427E-15)); #3589=DIRECTION('',(-1.,0.,0.)); #3590=DIRECTION('',(0.,1.,0.)); #3591=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #3592=DIRECTION('',(-1.,0.,0.)); #3593=DIRECTION('',(0.,1.,0.)); #3594=DIRECTION('',(1.,0.,0.)); #3595=DIRECTION('',(0.,1.,0.)); #3596=DIRECTION('',(0.,-1.,-5.77359988102427E-15)); #3597=DIRECTION('',(0.,0.,-1.)); #3598=DIRECTION('',(0.,-1.,0.)); #3599=DIRECTION('',(1.,0.,0.)); #3600=DIRECTION('',(0.,-1.,0.)); #3601=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #3602=DIRECTION('',(1.,0.,0.)); #3603=DIRECTION('',(0.,-1.,0.)); #3604=DIRECTION('',(0.,0.,1.)); #3605=DIRECTION('',(-1.,0.,0.)); #3606=DIRECTION('',(0.,1.,0.)); #3607=DIRECTION('',(0.,0.,1.)); #3608=DIRECTION('',(0.,-1.,0.)); #3609=DIRECTION('',(0.,0.,-1.)); #3610=DIRECTION('',(0.,0.,1.)); #3611=DIRECTION('',(0.,-1.,0.)); #3612=DIRECTION('',(1.,0.,0.)); #3613=DIRECTION('',(0.,-1.,0.)); #3614=DIRECTION('',(0.,0.,-1.)); #3615=DIRECTION('',(0.,-1.,0.)); #3616=DIRECTION('',(0.,0.,-1.)); #3617=DIRECTION('',(0.,1.,0.)); #3618=DIRECTION('',(0.,0.,1.)); #3619=DIRECTION('',(0.,-1.,0.)); #3620=DIRECTION('',(0.,0.,-1.)); #3621=DIRECTION('',(0.,-1.,0.)); #3622=DIRECTION('',(0.,0.,-1.)); #3623=DIRECTION('',(0.,1.,0.)); #3624=DIRECTION('',(0.,0.,-1.)); #3625=DIRECTION('',(0.,-1.,0.)); #3626=DIRECTION('',(0.,0.,-1.)); #3627=DIRECTION('',(0.,0.707106781186548,0.707106781186548)); #3628=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #3629=DIRECTION('',(-1.,0.,0.)); #3630=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #3631=DIRECTION('',(1.,0.,0.)); #3632=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #3633=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #3634=DIRECTION('',(-1.,0.,0.)); #3635=DIRECTION('',(-1.,0.,0.)); #3636=DIRECTION('',(0.,1.,0.)); #3637=DIRECTION('',(1.,0.,0.)); #3638=DIRECTION('',(0.,-1.,0.)); #3639=DIRECTION('',(1.,0.,0.)); #3640=DIRECTION('',(0.,1.,0.)); #3641=DIRECTION('',(-1.,0.,0.)); #3642=DIRECTION('',(0.,1.,0.)); #3643=DIRECTION('',(1.,0.,0.)); #3644=DIRECTION('',(0.,-1.,0.)); #3645=DIRECTION('',(1.,0.,0.)); #3646=DIRECTION('',(0.,1.,0.)); #3647=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #3648=DIRECTION('',(0.,0.707106781186549,0.707106781186549)); #3649=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #3650=DIRECTION('',(0.,0.707106781186547,0.707106781186549)); #3651=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #3652=DIRECTION('',(0.,0.707106781186549,0.707106781186549)); #3653=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #3654=DIRECTION('',(0.,-0.707106781186547,-0.707106781186549)); #3655=DIRECTION('',(0.,0.707106781186556,-0.707106781186539)); #3656=DIRECTION('',(0.,0.707106781186537,0.707106781186554)); #3657=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #3658=DIRECTION('',(0.,-0.707106781186549,-0.707106781186549)); #3659=DIRECTION('',(0.,0.,1.)); #3660=DIRECTION('',(0.,1.00000000000001,0.)); #3661=DIRECTION('',(0.,0.,-1.)); #3662=DIRECTION('',(0.,-5.77359988102427E-15,1.)); #3663=DIRECTION('',(0.,-1.00000000000001,-5.78241158658936E-15)); #3664=DIRECTION('',(0.,0.,-1.)); #3665=DIRECTION('',(0.,1.00000000000001,0.)); #3666=DIRECTION('',(0.,0.,1.)); #3667=DIRECTION('',(0.,0.,1.)); #3668=DIRECTION('',(0.,-1.00000000000001,0.)); #3669=DIRECTION('',(0.,-5.77359988102427E-15,1.)); #3670=DIRECTION('',(0.,-1.00000000000001,-5.78241158658936E-15)); #3671=DIRECTION('',(0.,0.,1.)); #3672=DIRECTION('',(0.,-1.00000000000001,0.)); #3673=DIRECTION('',(0.,-1.,-5.77359988102427E-15)); #3674=DIRECTION('',(0.,5.77359988102427E-15,-1.)); #3675=DIRECTION('',(0.,-0.999999999999995,-5.9818050895752E-15)); #3676=DIRECTION('',(-1.,0.,0.)); #3677=DIRECTION('',(0.,5.77359988102427E-15,-1.)); #3678=DIRECTION('',(0.,-0.999999999999995,-5.9818050895752E-15)); #3679=DIRECTION('',(0.,-1.,-5.77359988102427E-15)); #3680=DIRECTION('',(1.,0.,0.)); #3681=DIRECTION('',(0.,-5.77359988102427E-15,1.)); #3682=DIRECTION('',(0.,-1.,-5.77359340891981E-15)); #3683=DIRECTION('',(-1.,0.,0.)); #3684=DIRECTION('',(0.,1.,0.)); #3685=DIRECTION('',(0.,0.,-1.)); #3686=DIRECTION('',(1.,0.,0.)); #3687=DIRECTION('',(0.,-1.00000000000001,0.)); #3688=DIRECTION('',(0.,1.00000000000001,0.)); #3689=DIRECTION('',(0.,0.,1.)); #3690=DIRECTION('',(1.,0.,0.)); #3691=DIRECTION('',(0.,-1.00000000000001,0.)); #3692=DIRECTION('',(0.,1.00000000000001,0.)); #3693=DIRECTION('',(0.,0.,1.)); #3694=DIRECTION('',(-1.,0.,0.)); #3695=DIRECTION('',(0.,-1.00000000000001,0.)); #3696=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #3697=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #3698=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #3699=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #3700=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #3701=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #3702=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #3703=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #3704=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #3705=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #3706=DIRECTION('',(0.,0.707106781186548,0.707106781186548)); #3707=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #3708=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #3709=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #3710=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #3711=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #3712=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #3713=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #3714=DIRECTION('',(0.192450089729875,-0.962250448649376,-0.192450089729874)); #3715=DIRECTION('',(-0.192450089729876,-0.962250448649376,-0.192450089729874)); #3716=DIRECTION('',(1.,0.,0.)); #3717=DIRECTION('',(0.,0.196116135138184,-0.98058067569092)); #3718=DIRECTION('',(0.,0.98058067569092,0.196116135138184)); #3719=DIRECTION('',(0.192450089729876,-0.962250448649376,-0.192450089729874)); #3720=DIRECTION('',(-0.192450089729875,-0.962250448649376,-0.192450089729874)); #3721=DIRECTION('',(-1.,0.,0.)); #3722=DIRECTION('',(0.,0.196116135138184,-0.98058067569092)); #3723=DIRECTION('',(0.,0.98058067569092,0.196116135138184)); #3724=DIRECTION('',(-0.192450089729875,-0.962250448649376,0.192450089729874)); #3725=DIRECTION('',(0.,0.,-1.)); #3726=DIRECTION('',(-0.98058067569092,0.196116135138184,0.)); #3727=DIRECTION('',(-0.196116135138184,-0.98058067569092,0.)); #3728=DIRECTION('',(1.,0.,0.)); #3729=DIRECTION('',(0.,0.,-1.)); #3730=DIRECTION('',(0.,-1.,0.)); #3731=DIRECTION('',(0.,0.,-1.)); #3732=DIRECTION('',(0.192450089729876,-0.962250448649376,0.192450089729874)); #3733=DIRECTION('',(-0.98058067569092,-0.196116135138185,0.)); #3734=DIRECTION('',(0.196116135138185,-0.98058067569092,0.)); #3735=DIRECTION('',(-0.192450089729876,-0.962250448649376,0.192450089729874)); #3736=DIRECTION('',(0.,0.,-1.)); #3737=DIRECTION('',(0.98058067569092,-0.196116135138185,0.)); #3738=DIRECTION('',(-0.196116135138185,-0.98058067569092,0.)); #3739=DIRECTION('',(-1.,0.,0.)); #3740=DIRECTION('',(0.,0.,-1.)); #3741=DIRECTION('',(0.,-1.,0.)); #3742=DIRECTION('',(0.,0.,-1.)); #3743=DIRECTION('',(0.192450089729875,-0.962250448649376,0.192450089729874)); #3744=DIRECTION('',(0.98058067569092,0.196116135138184,0.)); #3745=DIRECTION('',(0.196116135138184,-0.98058067569092,0.)); #3746=DIRECTION('',(0.,0.196116135138184,0.98058067569092)); #3747=DIRECTION('',(0.,-0.98058067569092,0.196116135138184)); #3748=DIRECTION('',(0.,0.196116135138184,0.98058067569092)); #3749=DIRECTION('',(0.,-0.98058067569092,0.196116135138184)); #3750=DIRECTION('',(0.,0.,1.)); #3751=DIRECTION('',(1.,0.,0.)); #3752=DIRECTION('',(0.,0.,-1.)); #3753=DIRECTION('',(1.,0.,0.)); #3754=DIRECTION('',(0.,0.,-1.)); #3755=DIRECTION('',(1.,0.,0.)); #3756=DIRECTION('',(0.,0.,1.)); #3757=DIRECTION('',(1.,0.,0.)); #3758=DIRECTION('',(0.,0.,-1.)); #3759=DIRECTION('',(1.,0.,0.)); #3760=DIRECTION('',(0.,0.,1.)); #3761=DIRECTION('',(0.,1.,0.)); #3762=DIRECTION('',(0.,0.,1.)); #3763=DIRECTION('',(1.,0.,0.)); #3764=DIRECTION('',(0.,0.,1.)); #3765=DIRECTION('',(0.,0.999999999999999,0.)); #3766=DIRECTION('',(0.,0.,1.)); #3767=DIRECTION('',(1.,0.,0.)); #3768=DIRECTION('',(0.,0.,-1.)); #3769=DIRECTION('',(1.,0.,0.)); #3770=DIRECTION('',(0.,0.,1.)); #3771=DIRECTION('',(1.,0.,0.)); #3772=DIRECTION('',(0.,0.,1.)); #3773=DIRECTION('',(1.,0.,0.)); #3774=DIRECTION('',(0.,0.,1.)); #3775=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3776=DIRECTION('',(1.,0.,0.)); #3777=DIRECTION('',(0.,0.,1.)); #3778=DIRECTION('',(0.,0.,1.)); #3779=DIRECTION('',(0.,1.,0.)); #3780=DIRECTION('',(0.,0.,-1.)); #3781=DIRECTION('',(1.,0.,0.)); #3782=DIRECTION('',(0.,0.,1.)); #3783=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3784=DIRECTION('',(0.,0.,-1.)); #3785=DIRECTION('',(-1.,0.,0.)); #3786=DIRECTION('',(0.,1.,0.)); #3787=DIRECTION('',(0.,-7.79045609288606E-17,1.)); #3788=DIRECTION('',(0.,0.999999999999995,9.34657045246125E-17)); #3789=DIRECTION('',(0.,0.,1.)); #3790=DIRECTION('',(0.,0.,1.)); #3791=DIRECTION('',(0.,-0.999999999999995,0.)); #3792=DIRECTION('',(1.,0.,0.)); #3793=DIRECTION('',(0.,0.,-1.)); #3794=DIRECTION('',(0.,-1.,0.)); #3795=DIRECTION('',(1.,0.,0.)); #3796=DIRECTION('',(0.,-7.79045609288606E-17,1.)); #3797=DIRECTION('',(0.,0.999999999999995,9.34657045246125E-17)); #3798=DIRECTION('',(0.,0.,1.)); #3799=DIRECTION('',(0.,0.,1.)); #3800=DIRECTION('',(0.,-0.999999999999995,0.)); #3801=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3802=DIRECTION('',(1.,0.,0.)); #3803=DIRECTION('',(0.,0.,-1.)); #3804=DIRECTION('',(0.,0.,1.)); #3805=DIRECTION('',(0.,-1.,0.)); #3806=DIRECTION('',(0.,0.,-1.)); #3807=DIRECTION('',(-1.,0.,0.)); #3808=DIRECTION('',(0.,0.,-1.)); #3809=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3810=DIRECTION('',(0.,0.,-1.)); #3811=DIRECTION('',(1.,0.,0.)); #3812=DIRECTION('',(0.,1.,0.)); #3813=DIRECTION('',(0.,-7.79045609288606E-17,1.)); #3814=DIRECTION('',(0.,1.,9.34657045246125E-17)); #3815=DIRECTION('',(0.,0.,1.)); #3816=DIRECTION('',(0.,0.,1.)); #3817=DIRECTION('',(0.,-1.,0.)); #3818=DIRECTION('',(1.,0.,0.)); #3819=DIRECTION('',(0.,0.,-1.)); #3820=DIRECTION('',(0.,-1.,0.)); #3821=DIRECTION('',(0.,0.,-1.)); #3822=DIRECTION('',(0.,-7.79045609288606E-17,1.)); #3823=DIRECTION('',(0.,1.,9.34657045246125E-17)); #3824=DIRECTION('',(0.,0.,1.)); #3825=DIRECTION('',(0.,-1.,0.)); #3826=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3827=DIRECTION('',(0.,1.,0.)); #3828=DIRECTION('',(0.,0.,-1.)); #3829=DIRECTION('',(0.,-1.,0.)); #3830=DIRECTION('',(0.,0.,1.)); #3831=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3832=DIRECTION('',(0.,0.,-1.)); #3833=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3834=DIRECTION('',(1.,0.,0.)); #3835=DIRECTION('',(-1.,0.,0.)); #3836=DIRECTION('',(-1.,0.,0.)); #3837=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3838=DIRECTION('',(1.,0.,0.)); #3839=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3840=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #3841=DIRECTION('',(0.,1.,7.80625564189563E-17)); #3842=DIRECTION('',(0.,1.,0.)); #3843=DIRECTION('',(0.,0.,-1.)); #3844=DIRECTION('',(0.,-1.,0.)); #3845=DIRECTION('',(0.,0.,1.)); #3846=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3847=DIRECTION('',(0.,0.,-1.)); #3848=DIRECTION('',(-1.,0.,0.)); #3849=DIRECTION('',(0.,-1.,0.)); #3850=DIRECTION('',(1.,0.,0.)); #3851=DIRECTION('',(0.,1.,0.)); #3852=DIRECTION('',(-1.,0.,0.)); #3853=DIRECTION('',(0.,0.,1.)); #3854=DIRECTION('',(-1.,0.,0.)); #3855=DIRECTION('',(0.,-1.,0.)); #3856=DIRECTION('',(-1.,0.,0.)); #3857=DIRECTION('',(0.,1.,0.)); #3858=DIRECTION('',(-1.,0.,0.)); #3859=DIRECTION('',(0.,-1.,0.)); #3860=DIRECTION('',(-1.,0.,0.)); #3861=DIRECTION('',(0.,1.,0.)); #3862=DIRECTION('',(-1.,0.,0.)); #3863=DIRECTION('',(0.,1.,0.)); #3864=DIRECTION('',(1.,0.,0.)); #3865=DIRECTION('',(0.,0.999999999999999,0.)); #3866=DIRECTION('',(0.,-1.,0.)); #3867=DIRECTION('',(0.,0.,-1.)); #3868=DIRECTION('',(1.,0.,0.)); #3869=DIRECTION('',(0.,1.,0.)); #3870=DIRECTION('',(0.,0.,1.)); #3871=DIRECTION('',(0.,-1.00000000000001,0.)); #3872=DIRECTION('',(-1.,0.,0.)); #3873=DIRECTION('',(0.,0.999999999999999,0.)); #3874=DIRECTION('',(1.,0.,0.)); #3875=DIRECTION('',(0.,0.999999999999999,0.)); #3876=DIRECTION('',(1.,0.,0.)); #3877=DIRECTION('',(1.,0.,0.)); #3878=DIRECTION('',(0.,-0.999999999999999,0.)); #3879=DIRECTION('',(-1.,0.,0.)); #3880=DIRECTION('',(-1.,0.,0.)); #3881=DIRECTION('',(0.,-0.999999999999999,0.)); #3882=DIRECTION('',(0.,0.,1.)); #3883=DIRECTION('',(0.,1.00000000000001,0.)); #3884=DIRECTION('',(-1.,0.,0.)); #3885=DIRECTION('',(0.,-1.,0.)); #3886=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3887=DIRECTION('',(0.,0.,1.)); #3888=DIRECTION('',(-1.,0.,0.)); #3889=DIRECTION('',(0.,-0.999999999999999,0.)); #3890=DIRECTION('',(1.,0.,0.)); #3891=DIRECTION('',(0.,-1.,0.)); #3892=DIRECTION('',(-1.,0.,0.)); #3893=DIRECTION('',(0.,-1.,0.)); #3894=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3895=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3896=DIRECTION('',(0.,-1.,0.)); #3897=DIRECTION('',(0.,0.,1.)); #3898=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3899=DIRECTION('',(0.,0.,1.)); #3900=DIRECTION('',(-1.,0.,0.)); #3901=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3902=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #3903=DIRECTION('',(0.,1.,7.80625564189563E-17)); #3904=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3905=DIRECTION('',(0.,-1.,0.)); #3906=DIRECTION('',(0.,0.,1.)); #3907=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3908=DIRECTION('',(0.,0.,-1.)); #3909=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3910=DIRECTION('',(0.,0.,1.)); #3911=DIRECTION('',(0.,0.,1.)); #3912=DIRECTION('',(1.,0.,0.)); #3913=DIRECTION('',(0.,0.,-1.)); #3914=DIRECTION('',(1.,0.,0.)); #3915=DIRECTION('',(0.,1.,0.)); #3916=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #3917=DIRECTION('',(0.,1.00000000000001,9.03501810404587E-17)); #3918=DIRECTION('',(0.,0.,-1.)); #3919=DIRECTION('',(0.,0.,1.)); #3920=DIRECTION('',(0.,-1.00000000000001,0.)); #3921=DIRECTION('',(1.,0.,0.)); #3922=DIRECTION('',(0.,0.,1.)); #3923=DIRECTION('',(0.,-1.,0.)); #3924=DIRECTION('',(1.,0.,0.)); #3925=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #3926=DIRECTION('',(0.,1.00000000000001,9.03501810404587E-17)); #3927=DIRECTION('',(0.,0.,-1.)); #3928=DIRECTION('',(0.,0.,1.)); #3929=DIRECTION('',(0.,-1.00000000000001,0.)); #3930=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3931=DIRECTION('',(1.,0.,0.)); #3932=DIRECTION('',(0.,-1.,0.)); #3933=DIRECTION('',(0.,0.,-1.)); #3934=DIRECTION('',(-1.,0.,0.)); #3935=DIRECTION('',(0.,1.,0.)); #3936=DIRECTION('',(1.,0.,0.)); #3937=DIRECTION('',(1.,0.,0.)); #3938=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #3939=DIRECTION('',(0.,1.,7.78999260930835E-17)); #3940=DIRECTION('',(-1.,0.,0.)); #3941=DIRECTION('',(-1.,0.,0.)); #3942=DIRECTION('',(0.,0.,1.)); #3943=DIRECTION('',(-1.,0.,0.)); #3944=DIRECTION('',(-1.,0.,0.)); #3945=DIRECTION('',(0.,0.,1.)); #3946=DIRECTION('',(0.,1.,0.)); #3947=DIRECTION('',(0.,0.,1.)); #3948=DIRECTION('',(-1.,0.,0.)); #3949=DIRECTION('',(0.,-0.999999999999999,0.)); #3950=DIRECTION('',(1.,0.,0.)); #3951=DIRECTION('',(0.,1.,0.)); #3952=DIRECTION('',(-1.,0.,0.)); #3953=DIRECTION('',(0.,0.,1.)); #3954=DIRECTION('',(-1.,0.,0.)); #3955=DIRECTION('',(0.,-1.,0.)); #3956=DIRECTION('',(-1.,0.,0.)); #3957=DIRECTION('',(0.,1.,0.)); #3958=DIRECTION('',(-1.,0.,0.)); #3959=DIRECTION('',(0.,-1.,0.)); #3960=DIRECTION('',(-1.,0.,0.)); #3961=DIRECTION('',(0.,1.,0.)); #3962=DIRECTION('',(1.,0.,0.)); #3963=DIRECTION('',(0.,-1.,0.)); #3964=DIRECTION('',(-1.,0.,0.)); #3965=DIRECTION('',(0.,-1.,0.)); #3966=DIRECTION('',(0.,-1.,0.)); #3967=DIRECTION('',(0.,0.,1.)); #3968=DIRECTION('',(-1.,0.,0.)); #3969=DIRECTION('',(0.,-1.,0.)); #3970=DIRECTION('',(0.,0.,-1.)); #3971=DIRECTION('',(0.,1.,0.)); #3972=DIRECTION('',(1.,0.,0.)); #3973=DIRECTION('',(0.,-1.,0.)); #3974=DIRECTION('',(-1.,0.,0.)); #3975=DIRECTION('',(0.,-1.,0.)); #3976=DIRECTION('',(1.,0.,0.)); #3977=DIRECTION('',(-1.,0.,0.)); #3978=DIRECTION('',(-1.,0.,0.)); #3979=DIRECTION('',(0.,1.,0.)); #3980=DIRECTION('',(1.,0.,0.)); #3981=DIRECTION('',(0.,1.,0.)); #3982=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3983=DIRECTION('',(0.,0.,-1.)); #3984=DIRECTION('',(0.,0.,-1.)); #3985=DIRECTION('',(0.,1.,0.)); #3986=DIRECTION('',(1.,0.,0.)); #3987=DIRECTION('',(0.,1.,0.)); #3988=DIRECTION('',(1.,0.,0.)); #3989=DIRECTION('',(0.,1.,0.)); #3990=DIRECTION('',(-1.,0.,0.)); #3991=DIRECTION('',(0.,1.,0.)); #3992=DIRECTION('',(1.,0.,0.)); #3993=DIRECTION('',(0.,1.,0.)); #3994=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3995=DIRECTION('',(0.,1.,0.)); #3996=DIRECTION('',(0.,0.,-1.)); #3997=DIRECTION('',(0.,1.,7.79045609288606E-17)); #3998=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #3999=DIRECTION('',(0.,0.,-1.)); #4000=DIRECTION('',(1.,0.,0.)); #4001=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #4002=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #4003=DIRECTION('',(0.,1.,7.80625564189563E-17)); #4004=DIRECTION('',(0.,1.,7.79045609288606E-17)); #4005=DIRECTION('',(0.,1.,0.)); #4006=DIRECTION('',(0.,0.,-1.)); #4007=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #4008=DIRECTION('',(0.,0.,-1.)); #4009=DIRECTION('',(1.,0.,0.)); #4010=DIRECTION('',(0.,-1.,0.)); #4011=DIRECTION('',(0.,1.,7.79045609288606E-17)); #4012=DIRECTION('',(0.,0.,1.)); #4013=DIRECTION('',(0.,0.,1.)); #4014=DIRECTION('',(-1.,0.,0.)); #4015=DIRECTION('',(0.,1.,0.)); #4016=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #4017=DIRECTION('',(0.,1.,9.03501810404587E-17)); #4018=DIRECTION('',(0.,0.,-1.)); #4019=DIRECTION('',(0.,0.,-1.)); #4020=DIRECTION('',(0.,1.,0.)); #4021=DIRECTION('',(1.,0.,0.)); #4022=DIRECTION('',(0.,0.,1.)); #4023=DIRECTION('',(0.,-1.,0.)); #4024=DIRECTION('',(0.,0.,-1.)); #4025=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #4026=DIRECTION('',(0.,1.,9.03501810404587E-17)); #4027=DIRECTION('',(0.,0.,-1.)); #4028=DIRECTION('',(0.,0.,1.)); #4029=DIRECTION('',(0.,-1.,0.)); #4030=DIRECTION('',(0.,-1.,-7.79045609288606E-17)); #4031=DIRECTION('',(-1.,0.,0.)); #4032=DIRECTION('',(0.,1.,0.)); #4033=DIRECTION('',(0.,0.,-1.)); #4034=DIRECTION('',(1.,0.,0.)); #4035=DIRECTION('',(0.,1.,0.)); #4036=DIRECTION('',(-1.,0.,0.)); #4037=DIRECTION('',(-1.,0.,0.)); #4038=DIRECTION('',(0.,7.79045609288606E-17,-1.)); #4039=DIRECTION('',(0.,1.,7.78999260930835E-17)); #4040=DIRECTION('',(1.,0.,0.)); #4041=DIRECTION('',(1.,0.,0.)); #4042=DIRECTION('',(0.,1.,0.)); #4043=DIRECTION('',(1.,0.,0.)); #4044=DIRECTION('',(1.,0.,0.)); #4045=DIRECTION('',(0.,1.,0.)); #4046=DIRECTION('',(0.,-1.,0.)); #4047=DIRECTION('',(0.,0.,-1.)); #4048=DIRECTION('',(0.,0.,-1.)); #4049=DIRECTION('',(0.,-1.,0.)); #4050=DIRECTION('',(0.,0.,1.)); #4051=DIRECTION('',(1.,0.,0.)); #4052=DIRECTION('',(0.,0.,-1.)); #4053=DIRECTION('',(0.,1.,0.)); #4054=DIRECTION('',(-1.,0.,0.)); #4055=DIRECTION('',(1.,0.,0.)); #4056=DIRECTION('',(0.,1.,0.)); #4057=DIRECTION('',(1.,0.,0.)); #4058=DIRECTION('',(0.,-1.,0.)); #4059=DIRECTION('',(-1.,0.,0.)); #4060=DIRECTION('',(0.,0.,1.)); #4061=DIRECTION('',(0.,1.,0.)); #4062=DIRECTION('',(0.,0.,-1.)); #4063=DIRECTION('',(0.,-1.,0.)); #4064=DIRECTION('',(0.,0.,-1.)); #4065=DIRECTION('',(0.,0.,1.)); #4066=DIRECTION('',(1.,0.,0.)); #4067=DIRECTION('',(0.,0.,-1.)); #4068=DIRECTION('',(0.,0.,1.)); #4069=DIRECTION('',(0.,1.,0.)); #4070=DIRECTION('',(0.,0.,-1.)); #4071=DIRECTION('',(1.,0.,0.)); #4072=DIRECTION('',(0.,0.,-1.)); #4073=DIRECTION('',(0.,0.,-1.)); #4074=DIRECTION('',(0.,-1.,0.)); #4075=DIRECTION('',(0.,0.,1.)); #4076=DIRECTION('',(1.,0.,0.)); #4077=DIRECTION('',(0.,0.,-1.)); #4078=DIRECTION('',(-1.,0.,0.)); #4079=DIRECTION('',(1.,0.,0.)); #4080=DIRECTION('',(0.,0.,1.)); #4081=DIRECTION('',(0.,1.,0.)); #4082=DIRECTION('',(0.,-1.,0.)); #4083=DIRECTION('',(0.,0.,-1.)); #4084=DIRECTION('',(0.,1.,0.)); #4085=DIRECTION('',(0.,0.,-1.)); #4086=DIRECTION('',(0.,1.,0.)); #4087=DIRECTION('',(0.,0.,-1.)); #4088=DIRECTION('',(0.,1.,0.)); #4089=DIRECTION('',(1.,0.,0.)); #4090=DIRECTION('',(0.,-1.,0.)); #4091=DIRECTION('',(1.,0.,0.)); #4092=DIRECTION('',(0.,0.,-1.)); #4093=DIRECTION('',(1.,0.,0.)); #4094=DIRECTION('',(0.,1.,0.)); #4095=DIRECTION('',(-0.0868265938642476,-0.0868265938642476,-0.992432509138967)); #4096=DIRECTION('',(0.0868265938642476,-0.0868265938642476,0.992432509138967)); #4097=DIRECTION('',(0.996194698091746,0.,-0.0871557427476582)); #4098=DIRECTION('',(0.0871557427476582,0.,0.996194698091746)); #4099=DIRECTION('',(0.,-1.,0.)); #4100=DIRECTION('',(-1.,0.,0.)); #4101=DIRECTION('',(0.,-1.,0.)); #4102=DIRECTION('',(1.,0.,0.)); #4103=DIRECTION('',(0.,0.,1.)); #4104=DIRECTION('',(0.,1.,0.)); #4105=DIRECTION('',(0.,1.,0.)); #4106=DIRECTION('',(1.,0.,0.)); #4107=DIRECTION('',(0.,-1.,0.)); #4108=DIRECTION('',(-1.,0.,0.)); #4109=DIRECTION('',(0.,0.,1.)); #4110=DIRECTION('',(0.,1.,0.)); #4111=DIRECTION('',(-1.,0.,0.)); #4112=DIRECTION('',(0.0868265938642476,-0.0868265938642476,-0.992432509138967)); #4113=DIRECTION('',(-1.,0.,0.)); #4114=DIRECTION('',(-0.0868265938642476,0.0868265938642476,0.992432509138967)); #4115=DIRECTION('',(1.,0.,0.)); #4116=DIRECTION('',(-0.0868265938642476,-0.0868265938642476,-0.992432509138967)); #4117=DIRECTION('',(0.,0.996194698091746,-0.0871557427476582)); #4118=DIRECTION('',(0.,0.0871557427476582,0.996194698091746)); #4119=DIRECTION('',(-0.0868265938642476,0.0868265938642476,-0.992432509138967)); #4120=DIRECTION('',(0.,1.,0.)); #4121=DIRECTION('',(0.0868265938642476,-0.0868265938642476,0.992432509138967)); #4122=DIRECTION('',(0.,1.,0.)); #4123=DIRECTION('',(0.0868265938642476,0.0868265938642476,0.992432509138967)); #4124=DIRECTION('',(0.996194698091746,0.,-0.0871557427476582)); #4125=DIRECTION('',(-0.0871557427476582,0.,-0.996194698091746)); #4126=DIRECTION('',(-1.,0.,0.)); #4127=DIRECTION('',(-0.0868265938642476,-0.0868265938642476,0.992432509138967)); #4128=DIRECTION('',(-1.,0.,0.)); #4129=DIRECTION('',(-1.,0.,0.)); #4130=DIRECTION('',(-0.0868265938642476,-0.0868265938642476,0.992432509138967)); #4131=DIRECTION('',(0.,-0.996194698091746,-0.0871557427476582)); #4132=DIRECTION('',(0.,0.0871557427476582,-0.996194698091746)); #4133=DIRECTION('',(0.0868265938642476,0.0868265938642476,-0.992432509138967)); #4134=DIRECTION('',(0.,1.,0.)); #4135=DIRECTION('',(0.,-1.,0.)); #4136=DIRECTION('',(-0.996194698091746,0.,-0.0871557427476582)); #4137=DIRECTION('',(-0.0871557427476582,0.,0.996194698091746)); #4138=DIRECTION('',(-0.0868265938642476,0.0868265938642476,0.992432509138967)); #4139=DIRECTION('',(0.,-1.,0.)); #4140=DIRECTION('',(0.,1.,0.)); #4141=DIRECTION('',(-0.996194698091746,0.,-0.0871557427476582)); #4142=DIRECTION('',(-0.0871557427476582,0.,0.996194698091746)); #4143=DIRECTION('',(0.,1.,0.)); #4144=DIRECTION('',(0.,-1.,0.)); #4145=DIRECTION('',(0.,0.,-1.)); #4146=DIRECTION('',(-1.,0.,0.)); #4147=DIRECTION('',(-1.,0.,0.)); #4148=DIRECTION('',(-1.,0.,0.)); #4149=DIRECTION('',(0.,1.,0.)); #4150=DIRECTION('',(0.,0.,1.)); #4151=DIRECTION('',(0.,-1.,0.)); #4152=DIRECTION('',(0.,-1.,0.)); #4153=DIRECTION('',(-0.0868265938642476,-0.0868265938642476,0.992432509138967)); #4154=DIRECTION('',(-0.0868265938642476,0.0868265938642476,0.992432509138967)); #4155=DIRECTION('',(0.,-1.,0.)); #4156=DIRECTION('',(-0.996194698091746,0.,-0.0871557427476582)); #4157=DIRECTION('',(-0.0871557427476582,0.,0.996194698091746)); #4158=DIRECTION('',(-1.,0.,0.)); #4159=DIRECTION('',(0.,1.,0.)); #4160=DIRECTION('',(-1.,0.,0.)); #4161=DIRECTION('',(-1.,0.,0.)); #4162=DIRECTION('',(0.,-1.,0.)); #4163=DIRECTION('',(0.,0.,-1.)); #4164=DIRECTION('',(0.,1.,0.)); #4165=DIRECTION('',(0.,0.0871557427476582,0.996194698091746)); #4166=DIRECTION('',(-1.,0.,0.)); #4167=DIRECTION('',(0.,0.0871557427476582,0.996194698091746)); #4168=DIRECTION('',(0.,0.996194698091746,-0.0871557427476582)); #4169=DIRECTION('',(0.,0.0871557427476582,0.996194698091746)); #4170=DIRECTION('',(0.,-0.0871557427476582,-0.996194698091746)); #4171=DIRECTION('',(0.,-0.0871557427476582,-0.996194698091746)); #4172=DIRECTION('',(-1.,0.,0.)); #4173=DIRECTION('',(0.,0.996194698091746,-0.0871557427476582)); #4174=DIRECTION('',(0.,0.0871557427476582,0.996194698091746)); #4175=DIRECTION('',(-1.,0.,0.)); #4176=DIRECTION('',(0.,1.,0.)); #4177=DIRECTION('',(1.,0.,0.)); #4178=DIRECTION('',(0.,-1.,0.)); #4179=DIRECTION('',(-1.,0.,0.)); #4180=DIRECTION('',(0.,0.,-1.)); #4181=DIRECTION('',(0.,1.,0.)); #4182=DIRECTION('',(0.,0.0871557427476582,-0.996194698091746)); #4183=DIRECTION('',(0.,-0.0871557427476582,0.996194698091746)); #4184=DIRECTION('',(1.,0.,0.)); #4185=DIRECTION('',(0.,-0.996194698091746,-0.0871557427476582)); #4186=DIRECTION('',(0.,0.0871557427476582,-0.996194698091746)); #4187=DIRECTION('',(0.,-0.0871557427476582,0.996194698091746)); #4188=DIRECTION('',(1.,0.,0.)); #4189=DIRECTION('',(0.,-0.0871557427476582,0.996194698091746)); #4190=DIRECTION('',(0.,-0.996194698091746,-0.0871557427476582)); #4191=DIRECTION('',(0.,0.0871557427476582,-0.996194698091746)); #4192=DIRECTION('',(0.,1.,0.)); #4193=DIRECTION('',(-1.,0.,0.)); #4194=DIRECTION('',(0.,0.,1.)); #4195=DIRECTION('',(0.,-1.,0.)); #4196=DIRECTION('',(1.,0.,0.)); #4197=DIRECTION('',(0.,0.,-1.)); #4198=DIRECTION('',(0.,-1.,0.)); #4199=DIRECTION('',(0.,0.,1.)); #4200=DIRECTION('',(0.,1.,0.)); #4201=DIRECTION('',(-0.0868265938642476,0.0868265938642476,-0.992432509138967)); #4202=DIRECTION('',(0.0868265938642476,0.0868265938642476,-0.992432509138967)); #4203=DIRECTION('',(0.,-0.996194698091746,-0.0871557427476582)); #4204=DIRECTION('',(0.,0.0871557427476582,-0.996194698091746)); #4205=DIRECTION('',(-0.0868265938642476,0.0868265938642476,0.992432509138967)); #4206=DIRECTION('',(0.0868265938642476,0.0868265938642476,0.992432509138967)); #4207=DIRECTION('',(0.,0.996194698091746,-0.0871557427476582)); #4208=DIRECTION('',(0.,0.0871557427476582,0.996194698091746)); #4209=DIRECTION('',(0.,-1.,0.)); #4210=DIRECTION('',(-0.996194698091746,0.,-0.0871557427476582)); #4211=DIRECTION('',(-0.0871557427476582,0.,0.996194698091746)); #4212=DIRECTION('',(0.,1.,0.)); #4213=DIRECTION('',(0.996194698091746,0.,-0.0871557427476582)); #4214=DIRECTION('',(-0.0871557427476582,0.,-0.996194698091746)); #4215=DIRECTION('',(0.,1.,0.)); #4216=DIRECTION('',(0.,0.,1.)); #4217=DIRECTION('',(0.,1.,0.)); #4218=DIRECTION('',(1.,0.,0.)); #4219=DIRECTION('',(0.,0.,-1.)); #4220=DIRECTION('',(-1.,0.,0.)); #4221=DIRECTION('',(0.,0.,1.)); #4222=DIRECTION('',(1.,0.,0.)); #4223=DIRECTION('',(-1.,0.,0.)); #4224=DIRECTION('',(0.,0.,-1.)); #4225=DIRECTION('',(1.,0.,0.)); #4226=DIRECTION('',(-1.,0.,0.)); #4227=DIRECTION('',(0.,0.,-1.)); #4228=DIRECTION('',(-1.,0.,0.)); #4229=DIRECTION('',(0.,0.,1.)); #4230=DIRECTION('',(0.,-1.,0.)); #4231=DIRECTION('',(-1.,0.,0.)); #4232=DIRECTION('',(0.,1.,0.)); #4233=DIRECTION('',(-1.,0.,0.)); #4234=DIRECTION('',(0.,0.,-1.)); #4235=DIRECTION('',(0.,1.,0.)); #4236=DIRECTION('',(0.,0.,1.)); #4237=DIRECTION('',(0.,0.,1.)); #4238=DIRECTION('',(0.,1.,0.)); #4239=DIRECTION('',(-1.,0.,0.)); #4240=DIRECTION('',(0.,0.,-1.)); #4241=DIRECTION('',(-1.,0.,0.)); #4242=DIRECTION('',(0.,1.,0.)); #4243=DIRECTION('',(0.,1.,0.)); #4244=DIRECTION('',(1.,0.,0.)); #4245=DIRECTION('',(0.,1.,0.)); #4246=DIRECTION('',(1.,0.,0.)); #4247=DIRECTION('',(0.,-1.,0.)); #4248=DIRECTION('',(0.,0.,1.)); #4249=DIRECTION('',(0.,1.,0.)); #4250=DIRECTION('',(1.,0.,0.)); #4251=DIRECTION('',(0.,1.,0.)); #4252=DIRECTION('',(0.,0.,-1.)); #4253=DIRECTION('',(1.,0.,0.)); #4254=DIRECTION('',(0.,1.,0.)); #4255=DIRECTION('',(-1.,0.,0.)); #4256=DIRECTION('',(-1.,0.,0.)); #4257=DIRECTION('',(0.,-1.,0.)); #4258=DIRECTION('',(0.,1.,0.)); #4259=DIRECTION('',(1.,0.,0.)); #4260=DIRECTION('',(0.,-1.,0.)); #4261=DIRECTION('',(-1.,0.,0.)); #4262=DIRECTION('',(0.,1.,0.)); #4263=DIRECTION('',(1.,0.,0.)); #4264=DIRECTION('',(0.,0.,-1.)); #4265=DIRECTION('',(0.,1.,0.)); #4266=DIRECTION('',(1.,0.,0.)); #4267=DIRECTION('',(0.,0.,1.)); #4268=DIRECTION('',(1.,0.,0.)); #4269=DIRECTION('',(-1.,0.,0.)); #4270=DIRECTION('',(0.,0.,1.)); #4271=DIRECTION('',(1.,0.,0.)); #4272=DIRECTION('',(-1.,0.,0.)); #4273=DIRECTION('',(0.,0.,1.)); #4274=DIRECTION('',(0.,0.,-1.)); #4275=DIRECTION('',(0.,0.,-1.)); #4276=DIRECTION('',(0.,1.,0.)); #4277=DIRECTION('',(-1.,0.,0.)); #4278=DIRECTION('',(0.,-1.,0.)); #4279=DIRECTION('',(-1.,0.,0.)); #4280=DIRECTION('',(0.,-1.,0.)); #4281=DIRECTION('',(0.,0.,-1.)); #4282=DIRECTION('',(-1.,0.,0.)); #4283=DIRECTION('',(0.,1.,0.)); #4284=DIRECTION('',(0.,0.,1.)); #4285=DIRECTION('',(-1.,0.,0.)); #4286=DIRECTION('',(0.,-1.,0.)); #4287=DIRECTION('',(0.,1.,0.)); #4288=DIRECTION('',(-1.,0.,0.)); #4289=DIRECTION('',(0.,1.,0.)); #4290=DIRECTION('',(1.,0.,0.)); #4291=DIRECTION('',(0.,0.,1.)); #4292=DIRECTION('',(0.,1.,0.)); #4293=DIRECTION('',(-1.,0.,0.)); #4294=DIRECTION('',(0.,1.,0.)); #4295=DIRECTION('',(1.,0.,0.)); #4296=DIRECTION('',(-1.,0.,0.)); #4297=DIRECTION('',(0.,-1.,0.)); #4298=DIRECTION('',(1.,0.,0.)); #4299=DIRECTION('',(0.,-1.,0.)); #4300=DIRECTION('',(-1.,0.,0.)); #4301=DIRECTION('',(0.,1.,0.)); #4302=DIRECTION('',(0.,0.,1.)); #4303=DIRECTION('',(0.,-1.,0.)); #4304=DIRECTION('',(1.,0.,0.)); #4305=DIRECTION('',(0.,0.,-1.)); #4306=DIRECTION('',(0.,0.,-1.)); #4307=DIRECTION('',(0.,1.,0.)); #4308=DIRECTION('',(0.,0.,1.)); #4309=DIRECTION('',(0.,-1.,0.)); #4310=DIRECTION('',(0.,0.,-1.)); #4311=DIRECTION('',(1.,0.,0.)); #4312=DIRECTION('',(0.,0.,-1.)); #4313=DIRECTION('',(-1.,0.,0.)); #4314=DIRECTION('',(0.,0.,-1.)); #4315=DIRECTION('',(0.,-1.,0.)); #4316=DIRECTION('',(0.,0.,-1.)); #4317=DIRECTION('',(0.,1.,0.)); #4318=DIRECTION('',(1.,0.,0.)); #4319=DIRECTION('',(0.,0.,-1.)); #4320=DIRECTION('',(0.,0.,-1.)); #4321=DIRECTION('',(0.,1.,0.)); #4322=DIRECTION('',(0.,0.,1.)); #4323=DIRECTION('',(0.,-1.,0.)); #4324=DIRECTION('',(0.,1.,0.)); #4325=DIRECTION('',(1.,0.,0.)); #4326=DIRECTION('',(0.,-1.,0.)); #4327=DIRECTION('',(-1.,0.,0.)); #4328=DIRECTION('',(0.,0.,1.)); #4329=DIRECTION('',(0.,-1.,0.)); #4330=DIRECTION('',(1.,0.,0.)); #4331=DIRECTION('',(0.,0.,-1.)); #4332=DIRECTION('',(0.,0.,-1.)); #4333=DIRECTION('',(0.,1.,0.)); #4334=DIRECTION('',(0.,0.,1.)); #4335=DIRECTION('',(0.,-1.,0.)); #4336=DIRECTION('',(0.,0.,-1.)); #4337=DIRECTION('',(-1.,0.,0.)); #4338=DIRECTION('',(0.,0.,-1.)); #4339=DIRECTION('',(-1.,0.,0.)); #4340=DIRECTION('',(-1.,0.,0.)); #4341=DIRECTION('',(-1.,0.,0.)); #4342=DIRECTION('',(0.,0.,-1.)); #4343=DIRECTION('',(0.,1.,0.)); #4344=DIRECTION('',(0.,0.,1.)); #4345=DIRECTION('',(0.,1.,0.)); #4346=DIRECTION('',(-1.,0.,0.)); #4347=DIRECTION('',(0.,0.,-1.)); #4348=DIRECTION('',(0.,0.,1.)); #4349=DIRECTION('',(0.,-1.,0.)); #4350=DIRECTION('',(0.,0.,-1.)); #4351=DIRECTION('',(0.,1.,0.)); #4352=CARTESIAN_POINT('',(0.,0.,0.)); #4353=CARTESIAN_POINT('',(5.99935945012173,-7.14744443693084,-2.19361537988581)); #4354=CARTESIAN_POINT('',(6.2393594501129,-7.14744443693084,-2.19361537988581)); #4355=CARTESIAN_POINT('',(6.24935945012173,-7.14744443693084,-2.19361537988581)); #4356=CARTESIAN_POINT('',(6.2393594501129,25.7893428680588,-2.19361537988579)); #4357=CARTESIAN_POINT('',(6.2393594501129,-10.1474444369308,-2.193615379886)); #4358=CARTESIAN_POINT('',(5.9493594501129,-10.1474444369308,-2.193615379886)); #4359=CARTESIAN_POINT('',(5.9493594501129,-10.4374444369308,-2.193615379886)); #4360=CARTESIAN_POINT('',(6.30055945011289,-10.4374444369308,-2.193615379886)); #4361=CARTESIAN_POINT('',(-5.95064054987828,-10.4374444369308,-2.193615379886)); #4362=CARTESIAN_POINT('',(-5.95064054987828,-10.1474444369308,-2.193615379886)); #4363=CARTESIAN_POINT('',(-6.24064054987828,-10.1474444369308,-2.193615379886)); #4364=CARTESIAN_POINT('',(-6.24064054987828,21.3310887627439,-2.19361537988582)); #4365=CARTESIAN_POINT('',(-6.24064054987828,-7.14744443693084,-2.19361537988581)); #4366=CARTESIAN_POINT('',(-6.00064054988711,-7.14744443693084,-2.19361537988581)); #4367=CARTESIAN_POINT('',(-6.25064054988711,-7.14744443693084,-2.19361537988582)); #4368=CARTESIAN_POINT('',(-6.25064054988711,21.3310887627439,-2.19361537988582)); #4369=CARTESIAN_POINT('',(-6.25064054988711,-10.1474444369308,-2.193615379886)); #4370=CARTESIAN_POINT('',(-5.95064054988711,-10.1474444369308,-2.193615379886)); #4371=CARTESIAN_POINT('',(-5.95064054988711,-10.4474444369308,-2.19361537988582)); #4372=CARTESIAN_POINT('',(6.30055945011289,-10.4474444369308,-2.19361537988582)); #4373=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,-2.19361537988582)); #4374=CARTESIAN_POINT('',(5.94935945012173,-10.1474444369308,-2.19361537988582)); #4375=CARTESIAN_POINT('',(6.24935945012173,-10.1474444369308,-2.19361537988582)); #4376=CARTESIAN_POINT('',(6.24935945012173,21.3310887627439,-2.19361537988582)); #4377=CARTESIAN_POINT('',(-6.00064054988711,21.3310887627439,-2.19361537988582)); #4378=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-15.4936153800404)); #4379=CARTESIAN_POINT('',(1.89935945011731,14.552555563069,-15.4936153800404)); #4380=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-15.4936153798859)); #4381=CARTESIAN_POINT('',(-2.20064054988269,14.552555563069,-15.4936153798859)); #4382=CARTESIAN_POINT('',(5.69935945011289,-8.44744443693084,-15.4936153798859)); #4383=CARTESIAN_POINT('',(-5.95064054988711,-8.44744443693084,-15.4936153798859)); #4384=CARTESIAN_POINT('',(-5.95064054988711,-3.24033765574426,-15.4936153798859)); #4385=CARTESIAN_POINT('',(-5.95064054988711,21.2525555630694,-15.4936153798859)); #4386=CARTESIAN_POINT('',(5.69935945012173,21.2525555630694,-15.4936153798859)); #4387=CARTESIAN_POINT('',(5.94935945012173,21.2525555630694,-15.4936153798859)); #4388=CARTESIAN_POINT('',(5.94935945012173,14.2154321818451,-15.4936153798859)); #4389=CARTESIAN_POINT('',(5.94935945012173,-3.24033765574426,-15.4936153798859)); #4390=CARTESIAN_POINT('',(5.69935945011289,-3.24033765574426,-15.4936153798859)); #4391=CARTESIAN_POINT('',(3.79935945012173,17.0525555630692,-15.4936153798859)); #4392=CARTESIAN_POINT('',(3.79935945012173,18.0525555630692,-15.4936153798859)); #4393=CARTESIAN_POINT('',(4.79935945012173,17.0525555630692,-15.4936153798859)); #4394=CARTESIAN_POINT('',(5.69935945011289,18.0525555630692,-15.4936153798859)); #4395=CARTESIAN_POINT('',(-3.80064054988711,18.0525555630692,-15.4936153798859)); #4396=CARTESIAN_POINT('',(-3.80064054988711,17.0525555630692,-15.4936153798859)); #4397=CARTESIAN_POINT('',(-4.80064054988711,17.0525555630692,-15.4936153798859)); #4398=CARTESIAN_POINT('',(-4.80064054988711,-8.44744443693084,-15.4936153798859)); #4399=CARTESIAN_POINT('',(-4.80064054988711,12.0525555630692,-15.4936153798859)); #4400=CARTESIAN_POINT('',(-3.80064054988711,12.0525555630692,-15.4936153798859)); #4401=CARTESIAN_POINT('',(-3.80064054988711,11.0525555630692,-15.4936153798859)); #4402=CARTESIAN_POINT('',(5.69935945011289,11.0525555630692,-15.4936153798859)); #4403=CARTESIAN_POINT('',(3.79935945012173,11.0525555630692,-15.4936153798859)); #4404=CARTESIAN_POINT('',(3.79935945012173,12.0525555630692,-15.4936153798859)); #4405=CARTESIAN_POINT('',(4.79935945012173,12.0525555630692,-15.4936153798859)); #4406=CARTESIAN_POINT('',(4.79935945012173,-8.44744443693084,-15.4936153798859)); #4407=CARTESIAN_POINT('',(5.69935945011289,-8.44744443693084,-15.4936153798859)); #4408=CARTESIAN_POINT('',(-1.00064054988269,21.5525555630692,-14.4936153800404)); #4409=CARTESIAN_POINT('',(-1.00064054988269,21.5525555630692,-12.6936153800404)); #4410=CARTESIAN_POINT('',(-1.00064054988269,21.5525555630692,-14.4936153800404)); #4411=CARTESIAN_POINT('',(0.199359450117311,21.5525555630692,-12.6936153800404)); #4412=CARTESIAN_POINT('',(-2.80064054988269,21.5525555630692,-12.6936153800404)); #4413=CARTESIAN_POINT('',(-2.80064054988269,21.5525555630692,-14.4936153800404)); #4414=CARTESIAN_POINT('',(-2.80064054988269,21.5525555630692,-14.4936153800404)); #4415=CARTESIAN_POINT('',(-1.95064054988269,21.5525555630692,-14.4936153800404)); #4416=CARTESIAN_POINT('',(0.199359450117311,21.5525555630692,-12.6936153800404)); #4417=CARTESIAN_POINT('',(2.79935945011731,21.5525555630692,-12.6936153800404)); #4418=CARTESIAN_POINT('',(0.999359450117314,21.5525555630692,-12.6936153800404)); #4419=CARTESIAN_POINT('',(0.999359450117313,21.5525555630692,-14.4936153800404)); #4420=CARTESIAN_POINT('',(0.999359450117313,21.5525555630692,-14.4936153800404)); #4421=CARTESIAN_POINT('',(-1.95064054988269,21.5525555630692,-14.4936153800404)); #4422=CARTESIAN_POINT('',(2.79935945011731,21.5525555630692,-14.4936153800404)); #4423=CARTESIAN_POINT('',(2.79935945011731,21.5525555630692,-14.4936153800404)); #4424=CARTESIAN_POINT('',(-4.30064054988711,21.5525555630693,-12.9936153800404)); #4425=CARTESIAN_POINT('',(-4.80064054988711,21.5525555630693,-12.9936153800404)); #4426=CARTESIAN_POINT('',(-4.30064054988711,21.5525555630693,-12.4936153800404)); #4427=CARTESIAN_POINT('',(6.30055945011289,21.5525555630693,-12.4936153800404)); #4428=CARTESIAN_POINT('',(4.29935945012173,21.5525555630693,-12.4936153800404)); #4429=CARTESIAN_POINT('',(4.29935945012173,21.5525555630693,-12.9936153800404)); #4430=CARTESIAN_POINT('',(4.79935945012173,21.5525555630693,-12.9936153800404)); #4431=CARTESIAN_POINT('',(4.79935945012173,21.5525555630693,-11.4448153798858)); #4432=CARTESIAN_POINT('',(4.79935945012173,21.5525555630693,-14.1936153800404)); #4433=CARTESIAN_POINT('',(4.29935945012173,21.5525555630693,-14.1936153800404)); #4434=CARTESIAN_POINT('',(4.29935945012173,21.5525555630693,-14.6936153800404)); #4435=CARTESIAN_POINT('',(6.30055945011289,21.5525555630693,-14.6936153800404)); #4436=CARTESIAN_POINT('',(-4.30064054988711,21.5525555630693,-14.6936153800404)); #4437=CARTESIAN_POINT('',(-4.30064054988711,21.5525555630693,-14.1936153800404)); #4438=CARTESIAN_POINT('',(-4.80064054988711,21.5525555630693,-14.1936153800404)); #4439=CARTESIAN_POINT('',(-4.80064054988711,21.5525555630693,-11.4448153798858)); #4440=CARTESIAN_POINT('',(6.30055945011289,21.5525555630693,-11.4448153798858)); #4441=CARTESIAN_POINT('',(5.69935945011289,-8.44744443693084,-15.4936153798859)); #4442=CARTESIAN_POINT('',(-6.24064054987828,18.2525555630692,2.00638462011419)); #4443=CARTESIAN_POINT('',(-6.24064054987828,18.2525555630692,-2.19361537988582)); #4444=CARTESIAN_POINT('',(-6.24064054987828,14.0537462080258,1.90638462011415)); #4445=CARTESIAN_POINT('',(-5.82554456680741,18.2525555630692,-2.19361537988582)); #4446=CARTESIAN_POINT('',(-6.25064054988711,18.2525555630692,-2.19361537988583)); #4447=CARTESIAN_POINT('',(-6.25064054988711,18.2525555630692,2.00638462011419)); #4448=CARTESIAN_POINT('',(-6.25064054988711,14.0537462080258,1.90638462011415)); #4449=CARTESIAN_POINT('',(-5.82564054988711,14.0537462080258,1.90638462011415)); #4450=CARTESIAN_POINT('',(15.4493594501218,18.2525555630692,2.00638462011419)); #4451=CARTESIAN_POINT('',(-6.24064054987828,-2.79859401411785,1.90638462011415)); #4452=CARTESIAN_POINT('',(-6.24064054987828,-2.94863508188745,1.90638462011415)); #4453=CARTESIAN_POINT('',(-6.25064054988711,-2.79859401411785,1.90638462011415)); #4454=CARTESIAN_POINT('',(-6.25064054988711,-2.94863508188745,1.90638462011415)); #4455=CARTESIAN_POINT('',(-6.00064054988711,-2.94863508188745,1.90638462011415)); #4456=CARTESIAN_POINT('',(-6.00064054988711,-2.79859401411785,1.90638462011415)); #4457=CARTESIAN_POINT('',(-6.24064054987828,-7.14744443693084,2.0063846201142)); #4458=CARTESIAN_POINT('',(-6.25064054988711,-7.14744443693084,2.0063846201142)); #4459=CARTESIAN_POINT('',(-6.00064054988711,-7.14744443693084,2.0063846201142)); #4460=CARTESIAN_POINT('',(6.2393594501129,-7.14744443693084,2.0063846201142)); #4461=CARTESIAN_POINT('',(6.2393594501129,-2.94863508188745,1.90638462011415)); #4462=CARTESIAN_POINT('',(6.24935945012173,-7.14744443693084,2.0063846201142)); #4463=CARTESIAN_POINT('',(6.24935945012173,-2.94863508188745,1.90638462011415)); #4464=CARTESIAN_POINT('',(5.99935945012173,-2.94863508188745,1.90638462011415)); #4465=CARTESIAN_POINT('',(5.99935945012173,-7.14744443693084,2.0063846201142)); #4466=CARTESIAN_POINT('',(6.2393594501129,-2.79859401411785,1.90638462011415)); #4467=CARTESIAN_POINT('',(6.2393594501129,14.0537462080258,1.90638462011415)); #4468=CARTESIAN_POINT('',(6.24935945012173,-2.79859401411785,1.90638462011415)); #4469=CARTESIAN_POINT('',(6.24935945012173,14.0537462080258,1.90638462011415)); #4470=CARTESIAN_POINT('',(5.82435945012173,14.0537462080258,1.90638462011415)); #4471=CARTESIAN_POINT('',(5.99935945012173,-2.79859401411785,1.90638462011415)); #4472=CARTESIAN_POINT('',(6.2393594501129,18.2525555630692,2.00638462011419)); #4473=CARTESIAN_POINT('',(6.2393594501129,18.2525555630692,-2.19361537988582)); #4474=CARTESIAN_POINT('',(6.24935945012173,18.2525555630692,2.00638462011419)); #4475=CARTESIAN_POINT('',(6.24935945012173,18.2525555630692,-2.19361537988583)); #4476=CARTESIAN_POINT('',(5.82426346704203,18.2525555630692,-2.19361537988582)); #4477=CARTESIAN_POINT('',(15.4493594501218,18.2525555630692,2.00638462011419)); #4478=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,-11.4448153798858)); #4479=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,-8.28650859869928)); #4480=CARTESIAN_POINT('',(5.94935945012173,-10.1474444369308,-8.28650859869928)); #4481=CARTESIAN_POINT('',(6.24935945012173,-10.1474444369308,-8.28650859869928)); #4482=CARTESIAN_POINT('',(6.24935945012173,-10.1474444369308,-12.4832153798858)); #4483=CARTESIAN_POINT('',(5.94935945012173,-10.1474444369308,-11.4448153798858)); #4484=CARTESIAN_POINT('',(6.24935945012173,-13.5149246955189,-15.1936153798859)); #4485=CARTESIAN_POINT('',(6.24935945012173,21.2525555630694,-15.1936153798859)); #4486=CARTESIAN_POINT('',(6.24935945012173,-3.24033765574426,-15.1936153798859)); #4487=CARTESIAN_POINT('',(6.24935945012173,21.2525555630694,-2.19361537988581)); #4488=CARTESIAN_POINT('',(6.24935945012173,21.2525555630694,-2.19361537988582)); #4489=CARTESIAN_POINT('',(6.24935945012173,21.3310887627439,-2.19361537988582)); #4490=CARTESIAN_POINT('',(6.24935945012173,-9.94744443693084,-8.28650859869928)); #4491=CARTESIAN_POINT('',(6.24935945012173,-10.0888657931681,-8.42792995493659)); #4492=CARTESIAN_POINT('',(6.24935945012173,-9.61228768732965,-8.9045080607751)); #4493=CARTESIAN_POINT('',(6.24935945012173,-3.38175901198157,-15.1350367361232)); #4494=CARTESIAN_POINT('',(6.24935945012173,-3.24033765574426,-14.9936153798858)); #4495=CARTESIAN_POINT('',(6.24935945012173,-14.1909950064403,-12.4832153798858)); #4496=CARTESIAN_POINT('',(-6.25064054988711,21.3310887627439,-2.19361537988582)); #4497=CARTESIAN_POINT('',(-6.25064054988711,21.2525555630694,-2.19361537988582)); #4498=CARTESIAN_POINT('',(-6.25064054988711,21.2525555630694,-14.4936153798858)); #4499=CARTESIAN_POINT('',(-6.25064054988711,21.2525555630694,-15.1936153798859)); #4500=CARTESIAN_POINT('',(-6.25064054988711,-14.1909950064403,-15.1936153798859)); #4501=CARTESIAN_POINT('',(-6.25064054988711,-3.24033765574426,-15.1936153798859)); #4502=CARTESIAN_POINT('',(-6.25064054988711,-3.24033765574426,-14.9936153798858)); #4503=CARTESIAN_POINT('',(-6.25064054988711,-3.38175901198157,-15.1350367361232)); #4504=CARTESIAN_POINT('',(-6.25064054988711,-9.61228768732965,-8.90450806077508)); #4505=CARTESIAN_POINT('',(-6.25064054988711,-10.0888657931681,-8.42792995493659)); #4506=CARTESIAN_POINT('',(-6.25064054988711,-9.94744443693084,-8.28650859869928)); #4507=CARTESIAN_POINT('',(-6.25064054988711,-10.1474444369308,-8.28650859869928)); #4508=CARTESIAN_POINT('',(-6.25064054988711,-10.1474444369308,-12.4832153798858)); #4509=CARTESIAN_POINT('',(-6.25064054988711,-14.1909950064403,-12.4832153798858)); #4510=CARTESIAN_POINT('',(-5.95064054988711,-10.1474444369308,-8.28650859869928)); #4511=CARTESIAN_POINT('',(-5.95064054988711,-10.4474444369308,-8.28650859869928)); #4512=CARTESIAN_POINT('',(-5.95064054988711,-10.4474444369308,-11.4448153798858)); #4513=CARTESIAN_POINT('',(-5.95064054988711,-10.1474444369308,-12.4832153798858)); #4514=CARTESIAN_POINT('',(6.30055945011289,-10.4474444369308,-8.28650859869928)); #4515=CARTESIAN_POINT('',(6.30055945011289,-10.4474444369308,-11.4448153798858)); #4516=CARTESIAN_POINT('',(5.94935945012173,21.2525555630694,-15.1936153798859)); #4517=CARTESIAN_POINT('',(5.94935945012173,-3.24033765574426,-15.1936153798859)); #4518=CARTESIAN_POINT('',(5.94935945012173,-8.20864443693084,-15.1936153798859)); #4519=CARTESIAN_POINT('',(-5.95064054988711,21.2525555630694,-15.1936153798859)); #4520=CARTESIAN_POINT('',(-5.95064054988711,-3.24033765574426,-15.1936153798859)); #4521=CARTESIAN_POINT('',(-5.95064054988711,-14.1909950064403,-15.1936153798859)); #4522=CARTESIAN_POINT('',(-0.000640549878271057,-6.94744443692982,-11.9936153798868)); #4523=CARTESIAN_POINT('',(-0.000640549878271057,-4.07930140822687,-14.8617584085898)); #4524=CARTESIAN_POINT('',(6.30055945011289,-10.3009978275241,-8.64006198929256)); #4525=CARTESIAN_POINT('',(5.94935945012173,-10.3009978275241,-8.64006198929256)); #4526=CARTESIAN_POINT('',(-5.95064054988711,-10.3009978275241,-8.64006198929256)); #4527=CARTESIAN_POINT('',(-5.95064054988711,-10.4474444369308,-8.49361537988583)); #4528=CARTESIAN_POINT('',(-5.95064054988711,-3.59389104633753,-15.3471687704791)); #4529=CARTESIAN_POINT('',(6.30055945011289,-3.59389104633753,-15.3471687704792)); #4530=CARTESIAN_POINT('',(5.94935945012173,-3.59389104633753,-15.3471687704792)); #4531=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,-8.49361537988583)); #4532=CARTESIAN_POINT('',(6.30055945011289,-10.4474444369308,-8.49361537988583)); #4533=CARTESIAN_POINT('',(5.94935945012173,-3.24033765574426,-14.9936153798858)); #4534=CARTESIAN_POINT('',(-5.95064054988711,-3.24033765574426,-14.9936153798858)); #4535=CARTESIAN_POINT('',(5.69935945011289,-3.24033765574426,-14.9936153798858)); #4536=CARTESIAN_POINT('',(5.94935945012173,-9.94744443693084,-8.28650859869928)); #4537=CARTESIAN_POINT('',(-5.95064054988711,-9.94744443693084,-8.28650859869928)); #4538=CARTESIAN_POINT('',(6.30055945011289,-9.94744443693084,-8.28650859869928)); #4539=CARTESIAN_POINT('',(-5.95064054988711,-10.0888657931681,-8.42792995493659)); #4540=CARTESIAN_POINT('',(-6.25064054988711,-10.0888657931681,-8.42792995493659)); #4541=CARTESIAN_POINT('',(-6.25064054988711,-10.126874352726,-8.38992139537877)); #4542=CARTESIAN_POINT('',(-6.25064054988711,-10.1474444369308,-8.34026081911222)); #4543=CARTESIAN_POINT('',(-6.25064054988711,-10.1474444369308,-8.28650859869928)); #4544=CARTESIAN_POINT('',(-6.25064054988711,-10.2131298618801,-8.55219402364852)); #4545=CARTESIAN_POINT('',(-6.25064054988711,-10.284535769492,-8.48078811603664)); #4546=CARTESIAN_POINT('',(-6.25064054988711,-10.3231803682189,-8.38749180167757)); #4547=CARTESIAN_POINT('',(-6.25064054988711,-10.3231803682189,-8.28650859869928)); #4548=CARTESIAN_POINT('',(-6.12637648117518,-10.3009978275241,-8.64006198929257)); #4549=CARTESIAN_POINT('',(-6.12637648117518,-10.3960192264187,-8.54504059039801)); #4550=CARTESIAN_POINT('',(-6.12637648117518,-10.4474444369308,-8.42088914973163)); #4551=CARTESIAN_POINT('',(-6.12637648117518,-10.4474444369308,-8.28650859869928)); #4552=CARTESIAN_POINT('',(-5.95064054988711,-10.3009978275241,-8.64006198929257)); #4553=CARTESIAN_POINT('',(-5.95064054988711,-10.3960192264187,-8.54504059039801)); #4554=CARTESIAN_POINT('',(-5.95064054988711,-10.4474444369308,-8.42088914973163)); #4555=CARTESIAN_POINT('',(-5.95064054988711,-10.4474444369308,-8.28650859869928)); #4556=CARTESIAN_POINT('',(-5.95064054988711,-3.38175901198157,-15.1350367361232)); #4557=CARTESIAN_POINT('',(-6.25064054988711,-3.24033765574426,-15.1936153798858)); #4558=CARTESIAN_POINT('',(-6.25064054988711,-3.2940898761572,-15.1936153798858)); #4559=CARTESIAN_POINT('',(-6.25064054988711,-3.34375045242375,-15.173045295681)); #4560=CARTESIAN_POINT('',(-6.25064054988711,-3.38175901198157,-15.1350367361231)); #4561=CARTESIAN_POINT('',(-6.25064054988711,-3.24033765574426,-15.3693513111739)); #4562=CARTESIAN_POINT('',(-6.25064054988711,-3.34132085872255,-15.3693513111739)); #4563=CARTESIAN_POINT('',(-6.25064054988711,-3.43461717308162,-15.330706712447)); #4564=CARTESIAN_POINT('',(-6.25064054988711,-3.5060230806935,-15.2593008048351)); #4565=CARTESIAN_POINT('',(-6.12637648117518,-3.24033765574426,-15.4936153798858)); #4566=CARTESIAN_POINT('',(-6.12637648117518,-3.37471820677661,-15.4936153798858)); #4567=CARTESIAN_POINT('',(-6.12637648117518,-3.49886964744298,-15.4421901693737)); #4568=CARTESIAN_POINT('',(-6.12637648117518,-3.59389104633754,-15.3471687704791)); #4569=CARTESIAN_POINT('',(-5.95064054988711,-3.24033765574426,-15.4936153798858)); #4570=CARTESIAN_POINT('',(-5.95064054988711,-3.37471820677661,-15.4936153798858)); #4571=CARTESIAN_POINT('',(-5.95064054988711,-3.49886964744298,-15.4421901693737)); #4572=CARTESIAN_POINT('',(-5.95064054988711,-3.59389104633754,-15.3471687704791)); #4573=CARTESIAN_POINT('',(-5.95064054988711,-9.61228768732965,-8.90450806077509)); #4574=CARTESIAN_POINT('',(5.94935945012173,-3.38175901198157,-15.1350367361232)); #4575=CARTESIAN_POINT('',(5.94935945012173,-10.0888657931681,-8.42792995493659)); #4576=CARTESIAN_POINT('',(5.94935945012173,-9.61228768732965,-8.9045080607751)); #4577=CARTESIAN_POINT('',(6.24935945012173,-10.1474444369308,-8.28650859869928)); #4578=CARTESIAN_POINT('',(6.24935945012173,-10.1474444369308,-8.34026081911222)); #4579=CARTESIAN_POINT('',(6.24935945012173,-10.126874352726,-8.38992139537877)); #4580=CARTESIAN_POINT('',(6.24935945012173,-10.0888657931681,-8.42792995493659)); #4581=CARTESIAN_POINT('',(6.24935945012173,-10.3231803682189,-8.28650859869928)); #4582=CARTESIAN_POINT('',(6.24935945012173,-10.3231803682189,-8.38749180167757)); #4583=CARTESIAN_POINT('',(6.24935945012173,-10.284535769492,-8.48078811603664)); #4584=CARTESIAN_POINT('',(6.24935945012173,-10.2131298618801,-8.55219402364853)); #4585=CARTESIAN_POINT('',(6.1250953814098,-10.4474444369308,-8.28650859869928)); #4586=CARTESIAN_POINT('',(6.12509538140981,-10.4474444369308,-8.42088914973163)); #4587=CARTESIAN_POINT('',(6.12509538140981,-10.3960192264187,-8.54504059039801)); #4588=CARTESIAN_POINT('',(6.1250953814098,-10.3009978275241,-8.64006198929257)); #4589=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,-8.28650859869928)); #4590=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,-8.42088914973163)); #4591=CARTESIAN_POINT('',(5.94935945012173,-10.3960192264187,-8.54504059039801)); #4592=CARTESIAN_POINT('',(5.94935945012173,-10.3009978275241,-8.64006198929257)); #4593=CARTESIAN_POINT('',(6.24935945012173,-3.38175901198157,-15.1350367361231)); #4594=CARTESIAN_POINT('',(6.24935945012173,-3.34375045242374,-15.173045295681)); #4595=CARTESIAN_POINT('',(6.24935945012173,-3.2940898761572,-15.1936153798858)); #4596=CARTESIAN_POINT('',(6.24935945012173,-3.24033765574426,-15.1936153798858)); #4597=CARTESIAN_POINT('',(6.24935945012173,-3.50602308069349,-15.2593008048351)); #4598=CARTESIAN_POINT('',(6.24935945012173,-3.43461717308161,-15.330706712447)); #4599=CARTESIAN_POINT('',(6.24935945012173,-3.34132085872254,-15.3693513111739)); #4600=CARTESIAN_POINT('',(6.24935945012173,-3.24033765574426,-15.3693513111739)); #4601=CARTESIAN_POINT('',(6.1250953814098,-3.59389104633753,-15.3471687704791)); #4602=CARTESIAN_POINT('',(6.1250953814098,-3.49886964744297,-15.4421901693737)); #4603=CARTESIAN_POINT('',(6.1250953814098,-3.3747182067766,-15.4936153798858)); #4604=CARTESIAN_POINT('',(6.1250953814098,-3.24033765574426,-15.4936153798858)); #4605=CARTESIAN_POINT('',(5.94935945012173,-3.59389104633753,-15.3471687704791)); #4606=CARTESIAN_POINT('',(5.94935945012173,-3.49886964744297,-15.4421901693737)); #4607=CARTESIAN_POINT('',(5.94935945012173,-3.3747182067766,-15.4936153798858)); #4608=CARTESIAN_POINT('',(5.94935945012173,-3.24033765574426,-15.4936153798858)); #4609=CARTESIAN_POINT('',(5.94935945012173,21.2525555630694,-15.1936153798859)); #4610=CARTESIAN_POINT('',(5.94935945012173,21.5525555630693,-15.1936153798859)); #4611=CARTESIAN_POINT('',(5.94935945012173,21.5525555630693,-14.4936153798858)); #4612=CARTESIAN_POINT('',(5.94935945012173,21.5525555630693,-2.19361537988582)); #4613=CARTESIAN_POINT('',(5.94935945012173,21.2525555630694,-2.19361537988582)); #4614=CARTESIAN_POINT('',(5.94935945012173,21.2525555630694,-11.4448153798858)); #4615=CARTESIAN_POINT('',(-5.95064054988711,21.5525555630693,-2.19361537988581)); #4616=CARTESIAN_POINT('',(-5.95064054988711,21.5525555630693,-15.1936153798859)); #4617=CARTESIAN_POINT('',(-5.95064054988711,21.5525555630693,-2.19361537988582)); #4618=CARTESIAN_POINT('',(-5.95064054988711,21.2525555630694,-15.1936153798859)); #4619=CARTESIAN_POINT('',(-5.95064054988711,21.2525555630694,-2.19361537988582)); #4620=CARTESIAN_POINT('',(-5.95064054988711,21.2525555630694,-11.4448153798858)); #4621=CARTESIAN_POINT('',(-6.24064054987828,21.3310887627439,-2.19361537988582)); #4622=CARTESIAN_POINT('',(-6.24064054987828,21.2525555630692,-2.19361537988582)); #4623=CARTESIAN_POINT('',(-5.95064054987828,21.2525555630692,-2.19361537988582)); #4624=CARTESIAN_POINT('',(-5.95064054987828,21.5425555630692,-2.19361537988581)); #4625=CARTESIAN_POINT('',(-6.00064054988711,21.5425555630692,-2.19361537988581)); #4626=CARTESIAN_POINT('',(5.9493594501129,21.5425555630692,-2.19361537988581)); #4627=CARTESIAN_POINT('',(5.9493594501129,21.2525555630692,-2.19361537988582)); #4628=CARTESIAN_POINT('',(6.2393594501129,21.2525555630692,-2.19361537988582)); #4629=CARTESIAN_POINT('',(6.2393594501129,25.7893428680588,-2.19361537988579)); #4630=CARTESIAN_POINT('',(6.30055945011289,21.5525555630693,-2.19361537988582)); #4631=CARTESIAN_POINT('',(-6.00064054988711,21.3310887627439,-2.19361537988582)); #4632=CARTESIAN_POINT('',(-5.70064054988711,21.5525555630693,-15.1936153798859)); #4633=CARTESIAN_POINT('',(6.30055945011289,21.5525555630693,-11.4448153798858)); #4634=CARTESIAN_POINT('',(5.94935945012173,21.2525555630694,-15.1936153798859)); #4635=CARTESIAN_POINT('',(5.94935945012173,21.2525555630694,-15.1936153798859)); #4636=CARTESIAN_POINT('',(-5.95064054988711,21.2525555630694,-15.1936153798859)); #4637=CARTESIAN_POINT('',(-5.95064054988711,21.2525555630694,-15.1936153798859)); #4638=CARTESIAN_POINT('',(6.30055945011289,21.2525555630694,-15.1936153798859)); #4639=CARTESIAN_POINT('',(-0.000640549878271057,-8.3616579993018,-13.4078289422588)); #4640=CARTESIAN_POINT('',(-0.000640549878271057,-6.26302792480174,-15.5064590167589)); #4641=CARTESIAN_POINT('',(-0.000640549878271057,-8.3616579993008,-13.4078289422598)); #4642=CARTESIAN_POINT('',(-0.000640549878271057,-9.74051622261457,-12.028970718946)); #4643=CARTESIAN_POINT('',(-0.000640549878271057,-8.3616579993008,-13.4078289422598)); #4644=CARTESIAN_POINT('',(-0.000640549878271057,-8.08065803750292,-13.1268289804599)); #4645=CARTESIAN_POINT('',(-0.000640549878271057,-10.5805976473407,-10.6268893706222)); #4646=CARTESIAN_POINT('',(-0.000640549878271057,-8.08065803750292,-13.1268289804599)); #4647=CARTESIAN_POINT('',(-0.000640549878271057,-8.3616579993018,-13.4078289422588)); #4648=CARTESIAN_POINT('',(-0.000640549878271057,-13.3114054676091,-18.357576410567)); #4649=CARTESIAN_POINT('',(-0.000640549878271057,-11.9325472442953,-19.7364346338808)); #4650=CARTESIAN_POINT('',(0.799359450121728,-5.81216306269156,-25.8568188154846)); #4651=CARTESIAN_POINT('',(-0.000640549878271057,-4.82612409336884,-9.87229503632684)); #4652=CARTESIAN_POINT('',(2.6845446353025,22.1266296371433,-14.3788005652256)); #4653=CARTESIAN_POINT('',(2.59935945011731,22.5525555630692,-14.2936153800404)); #4654=CARTESIAN_POINT('',(1.13084093159879,22.2099629704766,-14.3621338985589)); #4655=CARTESIAN_POINT('',(1.19935945011731,22.5525555630692,-14.2936153800404)); #4656=CARTESIAN_POINT('',(1.94935945011732,22.5525555630692,-14.2936153800404)); #4657=CARTESIAN_POINT('',(-1.95064054988269,22.0525555630692,-14.3936153800404)); #4658=CARTESIAN_POINT('',(-1.13212203136417,22.2099629704766,-14.3621338985589)); #4659=CARTESIAN_POINT('',(-1.20064054988269,22.5525555630692,-14.2936153800404)); #4660=CARTESIAN_POINT('',(-2.68582573506787,22.1266296371433,-14.3788005652256)); #4661=CARTESIAN_POINT('',(-2.60064054988269,22.5525555630692,-14.2936153800404)); #4662=CARTESIAN_POINT('',(-1.95064054988269,22.5525555630692,-14.2936153800404)); #4663=CARTESIAN_POINT('',(-1.95064054988269,22.0525555630692,-14.3936153800404)); #4664=CARTESIAN_POINT('',(-2.65619610543824,22.2747777852915,-12.8380598244848)); #4665=CARTESIAN_POINT('',(-2.60064054988269,22.5525555630692,-12.8936153800404)); #4666=CARTESIAN_POINT('',(-2.60064054988269,22.5525555630692,-13.9936153800404)); #4667=CARTESIAN_POINT('',(-2.70064054988269,22.0525555630692,-13.9936153800404)); #4668=CARTESIAN_POINT('',(-2.30064054988269,22.5525555630692,-12.8936153800404)); #4669=CARTESIAN_POINT('',(-1.20064054988269,22.5525555630692,-12.8936153800404)); #4670=CARTESIAN_POINT('',(-1.20064054988269,22.5525555630692,-13.9936153800404)); #4671=CARTESIAN_POINT('',(-1.9006405498827,22.5525555630692,-14.2936153800404)); #4672=CARTESIAN_POINT('',(-1.14508499432714,22.2747777852915,-12.8380598244848)); #4673=CARTESIAN_POINT('',(-1.10064054988269,22.0525555630692,-13.9936153800404)); #4674=CARTESIAN_POINT('',(1.14380389456176,22.2747777852915,-12.8380598244848)); #4675=CARTESIAN_POINT('',(1.19935945011731,22.5525555630692,-12.8936153800404)); #4676=CARTESIAN_POINT('',(1.19935945011731,22.5525555630692,-13.9936153800404)); #4677=CARTESIAN_POINT('',(1.09935945011731,22.0525555630692,-13.9936153800404)); #4678=CARTESIAN_POINT('',(2.29935945011731,22.5525555630692,-12.8936153800404)); #4679=CARTESIAN_POINT('',(2.59935945011731,22.5525555630692,-12.8936153800404)); #4680=CARTESIAN_POINT('',(2.59935945011731,22.5525555630692,-13.9936153800404)); #4681=CARTESIAN_POINT('',(1.89935945011732,22.5525555630692,-14.2936153800404)); #4682=CARTESIAN_POINT('',(2.65491500567287,22.2747777852915,-12.8380598244848)); #4683=CARTESIAN_POINT('',(2.69935945011731,22.0525555630692,-13.9936153800404)); #4684=CARTESIAN_POINT('',(-2.30064054988269,22.0525555630692,-12.7936153800404)); #4685=CARTESIAN_POINT('',(2.29935945011731,22.0525555630692,-12.7936153800404)); #4686=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.4936153800404)); #4687=CARTESIAN_POINT('',(0.374359450117311,14.552555563069,-16.4936153800404)); #4688=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.3936153800404)); #4689=CARTESIAN_POINT('',(0.374359450117311,14.552555563069,-16.3936153800404)); #4690=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-15.9936153800404)); #4691=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.6936153800404)); #4692=CARTESIAN_POINT('',(0.574359450117311,14.552555563069,-16.6936153800404)); #4693=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.6936153800404)); #4694=CARTESIAN_POINT('',(1.59935945011731,14.552555563069,-16.6936153800404)); #4695=CARTESIAN_POINT('',(-1.9006405498827,18.3525555625695,-16.6936153800404)); #4696=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.3936153800404)); #4697=CARTESIAN_POINT('',(1.89935945011731,14.552555563069,-16.3936153800404)); #4698=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-13.5936153800404)); #4699=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.3936153800404)); #4700=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.1936153800404)); #4701=CARTESIAN_POINT('',(0.174359450117311,14.552555563069,-16.1936153800404)); #4702=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.1936153800404)); #4703=CARTESIAN_POINT('',(-0.000640549882689398,14.552555563069,-16.4936153800404)); #4704=CARTESIAN_POINT('',(0.374359450117311,14.552555563069,-16.3936153800404)); #4705=CARTESIAN_POINT('',(0.374359450117311,15.302555563069,-16.3936153800404)); #4706=CARTESIAN_POINT('',(-0.375640549882689,15.302555563069,-16.3936153800404)); #4707=CARTESIAN_POINT('',(-0.375640549882689,14.552555563069,-16.3936153800404)); #4708=CARTESIAN_POINT('',(-0.375640549882689,13.802555563069,-16.3936153800404)); #4709=CARTESIAN_POINT('',(0.374359450117311,13.802555563069,-16.3936153800404)); #4710=CARTESIAN_POINT('',(0.374359450117311,14.552555563069,-16.3936153800404)); #4711=CARTESIAN_POINT('',(0.374359450117311,14.552555563069,-16.276458092515)); #4712=CARTESIAN_POINT('',(0.374359450117314,15.302555563069,-16.276458092515)); #4713=CARTESIAN_POINT('',(-0.375640549882686,15.302555563069,-16.276458092515)); #4714=CARTESIAN_POINT('',(-0.375640549882689,14.552555563069,-16.276458092515)); #4715=CARTESIAN_POINT('',(-0.375640549882693,13.802555563069,-16.276458092515)); #4716=CARTESIAN_POINT('',(0.374359450117307,13.802555563069,-16.276458092515)); #4717=CARTESIAN_POINT('',(0.374359450117311,14.552555563069,-16.276458092515)); #4718=CARTESIAN_POINT('',(0.291516737642692,14.552555563069,-16.1936153800404)); #4719=CARTESIAN_POINT('',(0.291516737642695,15.1368701381198,-16.1936153800404)); #4720=CARTESIAN_POINT('',(-0.292797837408067,15.1368701381198,-16.1936153800404)); #4721=CARTESIAN_POINT('',(-0.29279783740807,14.552555563069,-16.1936153800404)); #4722=CARTESIAN_POINT('',(-0.292797837408074,13.9682409880182,-16.1936153800404)); #4723=CARTESIAN_POINT('',(0.291516737642688,13.9682409880182,-16.1936153800404)); #4724=CARTESIAN_POINT('',(0.291516737642692,14.552555563069,-16.1936153800404)); #4725=CARTESIAN_POINT('',(0.174359450117311,14.552555563069,-16.1936153800404)); #4726=CARTESIAN_POINT('',(0.174359450117311,14.902555563069,-16.1936153800404)); #4727=CARTESIAN_POINT('',(-0.175640549882689,14.902555563069,-16.1936153800404)); #4728=CARTESIAN_POINT('',(-0.175640549882689,14.552555563069,-16.1936153800404)); #4729=CARTESIAN_POINT('',(-0.175640549882689,14.202555563069,-16.1936153800404)); #4730=CARTESIAN_POINT('',(0.174359450117311,14.202555563069,-16.1936153800404)); #4731=CARTESIAN_POINT('',(0.174359450117311,14.552555563069,-16.1936153800404)); #4732=CARTESIAN_POINT('',(-6.24064054987828,-10.1474444369308,14.0045899807692)); #4733=CARTESIAN_POINT('',(-6.24064054987828,-10.1474444369308,0.206384620114149)); #4734=CARTESIAN_POINT('',(-6.24064054987828,25.7893428680588,0.206384620114151)); #4735=CARTESIAN_POINT('',(-6.24064054987828,-9.29220549588353,0.206384620114149)); #4736=CARTESIAN_POINT('',(-6.24064054987828,-7.14744443693084,2.00638462011419)); #4737=CARTESIAN_POINT('',(-6.24064054987828,-5.74744443693084,4.43125575071062)); #4738=CARTESIAN_POINT('',(-6.24064054987828,-5.74744443693084,14.0045899807692)); #4739=CARTESIAN_POINT('',(-6.24064054987828,-5.74744443693084,5.20638462011415)); #4740=CARTESIAN_POINT('',(-6.24064054987828,25.7893428680588,5.20638462011415)); #4741=CARTESIAN_POINT('',(-6.24064054987828,16.8525555630691,5.20638462011415)); #4742=CARTESIAN_POINT('',(-6.24064054987828,16.8525555630691,14.0045899807692)); #4743=CARTESIAN_POINT('',(-6.24064054987828,16.8525555630691,4.43125575071062)); #4744=CARTESIAN_POINT('',(-6.24064054987828,18.2525555630692,2.00638462011419)); #4745=CARTESIAN_POINT('',(-6.24064054987828,20.3973166220219,0.206384620114152)); #4746=CARTESIAN_POINT('',(-6.24064054987828,25.7893428680588,0.206384620114151)); #4747=CARTESIAN_POINT('',(-6.24064054987828,21.2525555630692,0.206384620114151)); #4748=CARTESIAN_POINT('',(-6.24064054987828,21.2525555630692,14.0045899807692)); #4749=CARTESIAN_POINT('',(-6.24064054987828,25.7893428680588,14.0045899807692)); #4750=CARTESIAN_POINT('',(-5.95064054987828,21.2525555630692,0.206384620114151)); #4751=CARTESIAN_POINT('',(-5.95064054987828,21.5425555630692,0.206384620114151)); #4752=CARTESIAN_POINT('',(-5.95064054987828,21.5425555630692,1.90638462011415)); #4753=CARTESIAN_POINT('',(-5.95064054987828,21.2525555630692,14.0045899807692)); #4754=CARTESIAN_POINT('',(-6.00064054988711,21.5425555630692,0.206384620114152)); #4755=CARTESIAN_POINT('',(5.9493594501129,21.5425555630692,0.206384620114152)); #4756=CARTESIAN_POINT('',(5.9493594501129,21.5425555630692,1.90638462011415)); #4757=CARTESIAN_POINT('',(-6.00064054988711,21.5425555630692,1.90638462011415)); #4758=CARTESIAN_POINT('',(5.9493594501129,21.2525555630692,0.206384620114152)); #4759=CARTESIAN_POINT('',(6.2393594501129,21.2525555630692,0.206384620114152)); #4760=CARTESIAN_POINT('',(6.2393594501129,21.2525555630692,14.0045899807692)); #4761=CARTESIAN_POINT('',(5.9493594501129,21.2525555630692,1.90638462011415)); #4762=CARTESIAN_POINT('',(6.2393594501129,25.7893428680588,0.206384620114151)); #4763=CARTESIAN_POINT('',(6.2393594501129,20.3973166220219,0.206384620114152)); #4764=CARTESIAN_POINT('',(6.2393594501129,18.2525555630692,2.00638462011419)); #4765=CARTESIAN_POINT('',(6.2393594501129,16.8525555630691,4.43125575071062)); #4766=CARTESIAN_POINT('',(6.2393594501129,16.8525555630691,14.0045899807692)); #4767=CARTESIAN_POINT('',(6.2393594501129,16.8525555630691,5.20638462011415)); #4768=CARTESIAN_POINT('',(6.2393594501129,25.7893428680588,5.20638462011415)); #4769=CARTESIAN_POINT('',(6.2393594501129,-5.74744443693084,5.20638462011415)); #4770=CARTESIAN_POINT('',(6.2393594501129,-5.74744443693084,14.0045899807692)); #4771=CARTESIAN_POINT('',(6.2393594501129,-5.74744443693084,4.43125575071062)); #4772=CARTESIAN_POINT('',(6.2393594501129,-7.14744443693084,2.00638462011419)); #4773=CARTESIAN_POINT('',(6.2393594501129,-9.29220549588353,0.206384620114149)); #4774=CARTESIAN_POINT('',(6.2393594501129,25.7893428680588,0.206384620114151)); #4775=CARTESIAN_POINT('',(6.2393594501129,-10.1474444369308,0.206384620114149)); #4776=CARTESIAN_POINT('',(6.2393594501129,-10.1474444369308,14.0045899807692)); #4777=CARTESIAN_POINT('',(6.2393594501129,25.7893428680588,14.0045899807692)); #4778=CARTESIAN_POINT('',(5.9493594501129,-10.1474444369308,0.206384620114149)); #4779=CARTESIAN_POINT('',(5.9493594501129,-10.4374444369308,0.206384620114149)); #4780=CARTESIAN_POINT('',(5.9493594501129,-10.4374444369308,-11.4448153798858)); #4781=CARTESIAN_POINT('',(5.9493594501129,-10.1474444369308,-11.4448153798858)); #4782=CARTESIAN_POINT('',(9.99935945011527,-10.4374444369308,0.20638462011415)); #4783=CARTESIAN_POINT('',(-5.95064054987828,-10.4374444369308,0.206384620114149)); #4784=CARTESIAN_POINT('',(-5.95064054987828,-10.4374444369308,-11.4448153798858)); #4785=CARTESIAN_POINT('',(6.30055945011289,-10.4374444369308,-11.4448153798858)); #4786=CARTESIAN_POINT('',(-5.95064054987828,-10.1474444369308,0.206384620114149)); #4787=CARTESIAN_POINT('',(-5.95064054987828,-10.1474444369308,14.0045899807692)); #4788=CARTESIAN_POINT('',(5.9493594501129,16.6925555630691,5.49638462011415)); #4789=CARTESIAN_POINT('',(5.9493594501129,-5.74744443693084,5.49638462011415)); #4790=CARTESIAN_POINT('',(5.9493594501129,16.8525555630691,5.49638462011415)); #4791=CARTESIAN_POINT('',(5.9493594501129,-5.74744443693084,5.20638462011415)); #4792=CARTESIAN_POINT('',(5.9493594501129,16.8525555630691,5.20638462011415)); #4793=CARTESIAN_POINT('',(5.9493594501129,16.6925555630691,5.20638462011415)); #4794=CARTESIAN_POINT('',(-5.95064054987828,16.6925555630691,5.49638462011415)); #4795=CARTESIAN_POINT('',(-5.95064054987828,16.8525555630691,5.49638462011415)); #4796=CARTESIAN_POINT('',(-5.95064054987828,-5.74744443693084,5.49638462011415)); #4797=CARTESIAN_POINT('',(9.99935945011527,-5.74744443693084,5.49638462011415)); #4798=CARTESIAN_POINT('',(9.99935945011527,16.8525555630691,5.49638462011415)); #4799=CARTESIAN_POINT('',(9.99935945011527,-4.24744443695281,5.49638462011415)); #4800=CARTESIAN_POINT('',(4.0493594506173,-4.24744443695281,5.49638462011415)); #4801=CARTESIAN_POINT('',(-4.0506405493827,-4.24744443695281,5.49638462011415)); #4802=CARTESIAN_POINT('',(-4.0506405493827,16.6925555630691,5.49638462011415)); #4803=CARTESIAN_POINT('',(-4.0506405493827,15.3525555630473,5.49638462011415)); #4804=CARTESIAN_POINT('',(9.99935945011527,15.3525555630473,5.49638462011415)); #4805=CARTESIAN_POINT('',(4.0493594506173,15.3525555630473,5.49638462011415)); #4806=CARTESIAN_POINT('',(4.0493594506173,16.6925555630691,5.49638462011415)); #4807=CARTESIAN_POINT('',(9.99935945011527,16.6925555630691,5.49638462011415)); #4808=CARTESIAN_POINT('',(-5.95064054987828,-5.74744443693084,5.20638462011415)); #4809=CARTESIAN_POINT('',(-5.95064054987828,16.8525555630691,5.20638462011415)); #4810=CARTESIAN_POINT('',(-5.95064054987828,25.7893428680588,5.20638462011415)); #4811=CARTESIAN_POINT('',(-6.45064054987828,18.2525555630692,2.00638462011419)); #4812=CARTESIAN_POINT('',(-6.45064054987828,17.0025555630691,2.00638462011419)); #4813=CARTESIAN_POINT('',(6.44935945012173,18.2525555630692,2.00638462011419)); #4814=CARTESIAN_POINT('',(6.44935945012173,19.5025555630692,2.00638462011419)); #4815=CARTESIAN_POINT('',(20.2993594501217,18.2525555630692,2.00638462011419)); #4816=CARTESIAN_POINT('',(6.44935945012172,18.2525555630692,2.00638462011419)); #4817=CARTESIAN_POINT('',(6.44935945012172,15.8025555630692,2.00638462011419)); #4818=CARTESIAN_POINT('',(6.44935945012172,25.7893428680588,14.0045899807692)); #4819=CARTESIAN_POINT('',(-6.45064054987828,18.2525555630692,2.00638462011419)); #4820=CARTESIAN_POINT('',(-6.45064054987828,15.8025555630692,2.00638462011419)); #4821=CARTESIAN_POINT('',(-6.45064054987828,25.7893428680588,14.0045899807692)); #4822=CARTESIAN_POINT('',(6.2493594501129,18.2525555630692,2.00638462011419)); #4823=CARTESIAN_POINT('',(6.2493594501129,20.9025555630692,2.00638462011419)); #4824=CARTESIAN_POINT('',(6.4493594501129,18.2525555630692,2.00638462011419)); #4825=CARTESIAN_POINT('',(5.9493594501129,19.0525555630691,5.20638462011415)); #4826=CARTESIAN_POINT('',(6.2493594501129,19.0525555630691,5.20638462011415)); #4827=CARTESIAN_POINT('',(5.9493594501129,19.0525555630691,5.50638462011415)); #4828=CARTESIAN_POINT('',(6.2493594501129,19.0525555630691,3.00638462011415)); #4829=CARTESIAN_POINT('',(6.2493594501129,21.2525555630692,3.00638462011415)); #4830=CARTESIAN_POINT('',(5.9493594501129,21.2525555630692,3.00638462011415)); #4831=CARTESIAN_POINT('',(5.9493594501129,21.5525555630692,3.00638462011415)); #4832=CARTESIAN_POINT('',(5.9493594501129,19.0525555630691,3.00638462011415)); #4833=CARTESIAN_POINT('',(5.9493594501129,19.0525555630691,3.00638462011415)); #4834=CARTESIAN_POINT('',(-6.00064054988711,21.5525555630692,3.00638462011415)); #4835=CARTESIAN_POINT('',(-5.95064054987828,21.5525555630692,3.00638462011415)); #4836=CARTESIAN_POINT('',(-5.95064054987828,19.0525555630691,3.00638462011415)); #4837=CARTESIAN_POINT('',(-5.95064054987828,19.0525555630691,5.50638462011415)); #4838=CARTESIAN_POINT('',(9.99935945011527,19.0525555630691,5.50638462011415)); #4839=CARTESIAN_POINT('',(9.99935945011527,19.0525555630691,3.00638462011415)); #4840=CARTESIAN_POINT('',(-5.95064054987828,21.2525555630692,3.00638462011415)); #4841=CARTESIAN_POINT('',(-6.25064054987828,21.2525555630692,3.00638462011415)); #4842=CARTESIAN_POINT('',(-6.25064054987828,19.0525555630691,3.00638462011415)); #4843=CARTESIAN_POINT('',(-6.25064054987828,19.0525555630691,5.20638462011415)); #4844=CARTESIAN_POINT('',(-5.95064054987828,19.0525555630691,5.20638462011415)); #4845=CARTESIAN_POINT('',(-5.95064054987828,19.0525555630691,3.00638462011415)); #4846=CARTESIAN_POINT('',(-6.25064054987828,18.2525555630692,2.00638462011419)); #4847=CARTESIAN_POINT('',(-6.25064054987828,15.6025555630692,2.00638462011419)); #4848=CARTESIAN_POINT('',(-6.45064054987828,18.2525555630692,2.00638462011419)); #4849=CARTESIAN_POINT('',(-5.95064054987828,16.6925555630691,5.50638462011415)); #4850=CARTESIAN_POINT('',(-5.95064054987828,16.8525555630691,5.50638462011415)); #4851=CARTESIAN_POINT('',(-6.25064054987828,25.7893428680588,5.20638462011415)); #4852=CARTESIAN_POINT('',(-6.25064054987828,16.8525555630691,5.20638462011415)); #4853=CARTESIAN_POINT('',(-5.95064054987828,16.8525555630691,5.20638462011415)); #4854=CARTESIAN_POINT('',(-5.95064054987828,16.6925555630691,5.20638462011415)); #4855=CARTESIAN_POINT('',(-17.4006405498783,16.8525555630691,5.50638462011415)); #4856=CARTESIAN_POINT('',(5.94935945011291,16.8525555630691,5.50638462011415)); #4857=CARTESIAN_POINT('',(5.9493594501129,16.6925555630691,5.50638462011415)); #4858=CARTESIAN_POINT('',(9.99935945011527,16.6925555630691,5.50638462011415)); #4859=CARTESIAN_POINT('',(6.2493594501129,25.7893428680588,5.20638462011415)); #4860=CARTESIAN_POINT('',(6.2493594501129,16.8525555630691,5.20638462011415)); #4861=CARTESIAN_POINT('',(5.9493594501129,16.8525555630691,5.20638462011415)); #4862=CARTESIAN_POINT('',(5.9493594501129,16.6925555630691,5.20638462011415)); #4863=CARTESIAN_POINT('',(6.2493594501129,16.6925555630691,0.206384620114152)); #4864=CARTESIAN_POINT('',(6.2493594501129,21.2525555630692,0.206384620114152)); #4865=CARTESIAN_POINT('',(6.2493594501129,20.3973166220219,0.206384620114152)); #4866=CARTESIAN_POINT('',(6.2493594501129,21.2525555630692,14.0045899807692)); #4867=CARTESIAN_POINT('',(6.2493594501129,16.8525555630691,4.63971875615584)); #4868=CARTESIAN_POINT('',(6.2493594501129,16.8525555630691,4.43125575071062)); #4869=CARTESIAN_POINT('',(6.2493594501129,18.2525555630692,2.00638462011419)); #4870=CARTESIAN_POINT('',(6.2493594501129,25.7893428680588,14.0045899807692)); #4871=CARTESIAN_POINT('',(5.9493594501129,21.2525555630692,0.206384620114152)); #4872=CARTESIAN_POINT('',(5.9493594501129,21.5525555630692,0.206384620114152)); #4873=CARTESIAN_POINT('',(5.9493594501129,21.5525555630692,1.90638462011415)); #4874=CARTESIAN_POINT('',(5.9493594501129,21.2525555630692,1.90638462011415)); #4875=CARTESIAN_POINT('',(9.99935945011527,21.5525555630692,0.206384620114152)); #4876=CARTESIAN_POINT('',(-5.95064054987828,21.5525555630692,0.206384620114152)); #4877=CARTESIAN_POINT('',(-5.95064054987828,21.5525555630692,1.90638462011415)); #4878=CARTESIAN_POINT('',(-6.00064054988711,21.5525555630692,1.90638462011415)); #4879=CARTESIAN_POINT('',(-5.95064054987828,21.2525555630692,0.206384620114151)); #4880=CARTESIAN_POINT('',(-6.25064054987828,21.2525555630692,0.206384620114152)); #4881=CARTESIAN_POINT('',(-6.25064054987828,21.2525555630692,14.0045899807692)); #4882=CARTESIAN_POINT('',(-5.95064054987828,21.2525555630692,14.0045899807692)); #4883=CARTESIAN_POINT('',(-6.25064054987828,16.6925555630691,0.206384620114152)); #4884=CARTESIAN_POINT('',(-6.25064054987828,20.3973166220219,0.206384620114152)); #4885=CARTESIAN_POINT('',(-6.25064054987828,18.2525555630692,2.00638462011419)); #4886=CARTESIAN_POINT('',(-6.25064054987828,16.8525555630691,4.43125575071062)); #4887=CARTESIAN_POINT('',(-6.25064054987828,16.8525555630691,4.63971875615584)); #4888=CARTESIAN_POINT('',(-6.25064054987828,25.7893428680588,14.0045899807692)); #4889=CARTESIAN_POINT('',(-5.75055064300009,20.3973166220219,0.206384620114152)); #4890=CARTESIAN_POINT('',(5.87425992996037,20.3973166220219,0.206384620114152)); #4891=CARTESIAN_POINT('',(9.99935945011527,16.6925555630691,0.206384620114152)); #4892=CARTESIAN_POINT('',(5.87425986612245,16.8525555630691,4.43125575071062)); #4893=CARTESIAN_POINT('',(15.4493594501218,18.2525555630692,2.00638462011419)); #4894=CARTESIAN_POINT('',(20.2993594501217,16.8525555630691,4.43125575071062)); #4895=CARTESIAN_POINT('',(20.2993594501217,18.2525555630692,2.00638462011419)); #4896=CARTESIAN_POINT('',(-17.4006405498783,16.8525555630691,4.63971875615584)); #4897=CARTESIAN_POINT('',(-6.45064054987828,-7.14744443693084,2.00638462011419)); #4898=CARTESIAN_POINT('',(-6.45064054987828,-8.39744443693084,2.00638462011419)); #4899=CARTESIAN_POINT('',(6.44935945012173,-7.14744443693084,2.00638462011419)); #4900=CARTESIAN_POINT('',(6.44935945012173,-5.89744443693084,2.00638462011419)); #4901=CARTESIAN_POINT('',(20.2993594501217,-7.14744443693084,2.00638462011419)); #4902=CARTESIAN_POINT('',(-6.45064054987828,-7.14744443693084,2.00638462011419)); #4903=CARTESIAN_POINT('',(-6.45064054987828,-9.59744443693084,2.00638462011419)); #4904=CARTESIAN_POINT('',(-6.45064054987828,0.389342868058765,14.0045899807692)); #4905=CARTESIAN_POINT('',(6.44935945012172,-7.14744443693084,2.00638462011419)); #4906=CARTESIAN_POINT('',(6.44935945012172,-9.59744443693084,2.00638462011419)); #4907=CARTESIAN_POINT('',(6.44935945012172,0.389342868058765,14.0045899807692)); #4908=CARTESIAN_POINT('',(-6.25064054987828,-7.14744443693084,2.00638462011419)); #4909=CARTESIAN_POINT('',(-6.25064054987828,-9.79744443693084,2.00638462011419)); #4910=CARTESIAN_POINT('',(-6.45064054987828,-7.14744443693084,2.00638462011419)); #4911=CARTESIAN_POINT('',(-5.95064054987828,-7.94744443693084,5.20638462011415)); #4912=CARTESIAN_POINT('',(-5.95064054987828,-7.94744443693084,5.50638462011415)); #4913=CARTESIAN_POINT('',(-6.25064054987828,-7.94744443693084,5.20638462011415)); #4914=CARTESIAN_POINT('',(-6.25064054987828,-7.94744443693084,3.00638462011415)); #4915=CARTESIAN_POINT('',(-6.25064054987828,-10.1474444369308,3.00638462011415)); #4916=CARTESIAN_POINT('',(-5.95064054987828,-10.1474444369308,3.00638462011415)); #4917=CARTESIAN_POINT('',(-5.95064054987828,-10.4474444369308,3.00638462011415)); #4918=CARTESIAN_POINT('',(-5.95064054987828,-7.94744443693084,3.00638462011415)); #4919=CARTESIAN_POINT('',(-5.95064054987828,-7.94744443693084,3.00638462011415)); #4920=CARTESIAN_POINT('',(9.99935945011527,-7.94744443693084,5.50638462011415)); #4921=CARTESIAN_POINT('',(5.94935945012173,-7.94744443693084,5.50638462011415)); #4922=CARTESIAN_POINT('',(-6.30184054987827,-10.4474444369308,3.00638462011415)); #4923=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,3.00638462011415)); #4924=CARTESIAN_POINT('',(5.94935945012173,-7.94744443693084,3.00638462011415)); #4925=CARTESIAN_POINT('',(-6.30184054987827,-7.94744443693084,3.00638462011415)); #4926=CARTESIAN_POINT('',(5.94935945012173,-7.94744443693084,5.20638462011415)); #4927=CARTESIAN_POINT('',(6.24935945012173,-7.94744443693084,5.20638462011415)); #4928=CARTESIAN_POINT('',(5.94935945012173,-10.1474444369308,3.00638462011415)); #4929=CARTESIAN_POINT('',(6.24935945012173,-10.1474444369308,3.00638462011415)); #4930=CARTESIAN_POINT('',(6.24935945012173,-7.94744443693084,3.00638462011415)); #4931=CARTESIAN_POINT('',(5.94935945012173,-7.94744443693084,3.00638462011415)); #4932=CARTESIAN_POINT('',(6.24935945012173,-7.14744443693084,2.00638462011419)); #4933=CARTESIAN_POINT('',(6.24935945012173,-4.49744443693084,2.00638462011419)); #4934=CARTESIAN_POINT('',(6.44935945012173,-7.14744443693084,2.00638462011419)); #4935=CARTESIAN_POINT('',(6.24935945012173,-8.44744443693084,5.20638462011415)); #4936=CARTESIAN_POINT('',(6.24935945012173,-5.74744443693084,5.20638462011415)); #4937=CARTESIAN_POINT('',(5.94935945012173,-5.74744443693084,5.20638462011415)); #4938=CARTESIAN_POINT('',(5.94935945012173,-5.74744443693084,5.50638462011415)); #4939=CARTESIAN_POINT('',(5.94935945012173,-5.54744443693084,5.50638462011415)); #4940=CARTESIAN_POINT('',(5.94935945012173,16.6925555630691,5.20638462011415)); #4941=CARTESIAN_POINT('',(-17.4006405498783,-5.74744443693084,5.50638462011415)); #4942=CARTESIAN_POINT('',(-5.95064054987828,-5.74744443693084,5.50638462011415)); #4943=CARTESIAN_POINT('',(-5.95064054987828,-8.44744443693084,5.50638462011415)); #4944=CARTESIAN_POINT('',(9.99935945011527,16.6925555630691,5.50638462011415)); #4945=CARTESIAN_POINT('',(-6.25064054987828,-5.54744443693084,5.20638462011415)); #4946=CARTESIAN_POINT('',(-6.25064054987828,-5.74744443693084,5.20638462011415)); #4947=CARTESIAN_POINT('',(-5.95064054987828,-5.74744443693084,5.20638462011415)); #4948=CARTESIAN_POINT('',(-5.95064054987828,0.389342868058765,5.20638462011415)); #4949=CARTESIAN_POINT('',(-6.25064054987828,-7.14744443693084,2.00638462011419)); #4950=CARTESIAN_POINT('',(-6.25064054987828,-9.29220549588353,0.206384620114149)); #4951=CARTESIAN_POINT('',(-6.25064054987828,-5.74744443693084,4.43125575071062)); #4952=CARTESIAN_POINT('',(-6.25064054987828,0.389342868058765,0.206384620114149)); #4953=CARTESIAN_POINT('',(-6.25064054987828,-10.1474444369308,0.206384620114149)); #4954=CARTESIAN_POINT('',(-6.25064054987828,-10.1474444369308,3.50638462011415)); #4955=CARTESIAN_POINT('',(-6.25064054987828,-5.74744443693084,14.0045899807692)); #4956=CARTESIAN_POINT('',(-6.25064054987828,0.389342868058765,14.0045899807692)); #4957=CARTESIAN_POINT('',(-5.95064054987828,-10.1474444369308,0.206384620114151)); #4958=CARTESIAN_POINT('',(-5.95064054987828,-10.4474444369308,0.206384620114151)); #4959=CARTESIAN_POINT('',(-5.95064054987828,-10.4474444369308,0.206384620114149)); #4960=CARTESIAN_POINT('',(-5.95064054987828,-10.1474444369308,-11.4448153798858)); #4961=CARTESIAN_POINT('',(-6.30184054987827,-10.4474444369308,0.206384620114151)); #4962=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,0.206384620114151)); #4963=CARTESIAN_POINT('',(5.94935945012173,-10.4474444369308,3.50638462011415)); #4964=CARTESIAN_POINT('',(-6.30184054987827,-10.4474444369308,-11.4448153798858)); #4965=CARTESIAN_POINT('',(5.94935945012173,-10.1474444369308,0.206384620114151)); #4966=CARTESIAN_POINT('',(6.24935945012173,-10.1474444369308,0.20638462011415)); #4967=CARTESIAN_POINT('',(6.24935945012173,-10.1474444369308,0.206384620114151)); #4968=CARTESIAN_POINT('',(5.94935945012173,-10.1474444369308,-11.4448153798858)); #4969=CARTESIAN_POINT('',(6.24935945012173,-39.5809950064401,0.206384620114147)); #4970=CARTESIAN_POINT('',(6.24935945012173,-9.29220549588353,0.20638462011415)); #4971=CARTESIAN_POINT('',(6.24935945012173,-7.14744443693084,2.00638462011419)); #4972=CARTESIAN_POINT('',(6.24935945012173,-5.74744443693084,4.43125575071062)); #4973=CARTESIAN_POINT('',(6.24935945012173,-5.74744443693084,-12.4832153798858)); #4974=CARTESIAN_POINT('',(6.24935945012173,-39.5809950064401,-12.4832153798858)); #4975=CARTESIAN_POINT('',(5.87435945011732,-9.29220549588353,0.206384620114149)); #4976=CARTESIAN_POINT('',(-5.87564054987828,-9.29220549588353,0.206384620114149)); #4977=CARTESIAN_POINT('',(9.99935945011527,16.6925555630691,0.206384620114152)); #4978=CARTESIAN_POINT('',(-17.4006405498783,-5.74744443693084,4.43125575071062)); #4979=CARTESIAN_POINT('',(-17.4006405498783,-7.14744443693084,2.00638462011419)); #4980=CARTESIAN_POINT('',(-17.4006405498783,-5.74744443693084,4.43125575071062)); #4981=CARTESIAN_POINT('',(-17.4006405498783,-7.14744443693084,2.00638462011419)); #4982=CARTESIAN_POINT('',(-17.4006405498783,-5.74744443693084,5.50638462011412)); #4983=CARTESIAN_POINT('',(4.0493594506173,15.3525555630473,21.256384620459)); #4984=CARTESIAN_POINT('',(4.0493594506173,15.3525555630473,5.50638462045905)); #4985=CARTESIAN_POINT('',(4.0493594506173,5.75894018306913,5.50638462045905)); #4986=CARTESIAN_POINT('',(4.0493594506173,-4.24744443695281,5.50638462045905)); #4987=CARTESIAN_POINT('',(4.0493594506173,-4.24744443695281,5.50638462045905)); #4988=CARTESIAN_POINT('',(4.0493594506173,3.25255556302836,4.20638462045905)); #4989=CARTESIAN_POINT('',(-4.0506405493827,5.75894018306913,5.50638462045905)); #4990=CARTESIAN_POINT('',(-4.0506405493827,-4.24744443695281,5.50638462045905)); #4991=CARTESIAN_POINT('',(-4.0506405493827,15.3525555630473,5.50638462045905)); #4992=CARTESIAN_POINT('',(-0.00064054988269444,-4.24744443695281,5.50638462045905)); #4993=CARTESIAN_POINT('',(-0.00064054988269444,15.3525555630473,5.50638462045905)); #4994=CARTESIAN_POINT('',(3.5493594506173,5.75894018306913,5.50638462045905)); #4995=CARTESIAN_POINT('',(3.5493594506173,-3.74744443695281,5.50638462045905)); #4996=CARTESIAN_POINT('',(3.5493594506173,14.8525555630472,5.50638462045905)); #4997=CARTESIAN_POINT('',(3.59341107921315,-3.74744443695281,5.50638462045905)); #4998=CARTESIAN_POINT('',(-3.55064054938269,-3.74744443695281,5.50638462045905)); #4999=CARTESIAN_POINT('',(-3.55064054938269,5.75894018306913,5.50638462045905)); #5000=CARTESIAN_POINT('',(-3.55064054938269,14.8525555630472,5.50638462045905)); #5001=CARTESIAN_POINT('',(10.1993594501173,14.8525555630472,5.50638462045905)); #5002=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,5.50638462045905)); #5003=CARTESIAN_POINT('',(-4.0506405493827,15.3525555630473,4.20638462045905)); #5004=CARTESIAN_POINT('',(10.1993594501173,15.3525555630473,21.256384620459)); #5005=CARTESIAN_POINT('',(-4.0506405493827,-4.24744443695281,4.20638462045905)); #5006=CARTESIAN_POINT('',(-4.0506405493827,3.25255556302836,4.20638462045905)); #5007=CARTESIAN_POINT('',(3.5493594506173,14.8525555630472,21.256384620459)); #5008=CARTESIAN_POINT('',(3.5493594506173,14.8525555630472,5.45638462045905)); #5009=CARTESIAN_POINT('',(3.5493594506173,5.75894018306913,5.45638462045905)); #5010=CARTESIAN_POINT('',(3.5493594506173,-3.74744443695281,5.45638462045905)); #5011=CARTESIAN_POINT('',(3.5493594506173,-3.74744443695281,5.50638462045905)); #5012=CARTESIAN_POINT('',(3.5493594506173,3.25255556302836,4.20638462045905)); #5013=CARTESIAN_POINT('',(-3.55064054938269,-3.74744443695281,4.20638462045905)); #5014=CARTESIAN_POINT('',(-3.55064054938269,-3.74744443695281,5.45638462045905)); #5015=CARTESIAN_POINT('',(-3.55064054938269,5.75894018306913,5.45638462045905)); #5016=CARTESIAN_POINT('',(-3.55064054938269,14.8525555630472,5.45638462045905)); #5017=CARTESIAN_POINT('',(-3.55064054938269,14.8525555630472,4.20638462045905)); #5018=CARTESIAN_POINT('',(-3.55064054938269,3.25255556302836,4.20638462045905)); #5019=CARTESIAN_POINT('',(-0.00064054988269444,-3.74744443695281,5.45638462045905)); #5020=CARTESIAN_POINT('',(-0.00064054988269444,14.8525555630472,5.45638462045905)); #5021=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,5.45638462045905)); #5022=CARTESIAN_POINT('',(10.1993594501173,14.8525555630472,21.256384620459)); #5023=CARTESIAN_POINT('',(3.59341107921315,-4.24744443695281,5.50638462045905)); #5024=CARTESIAN_POINT('',(3.59341107921315,-3.74744443695281,5.50638462045905)); #5025=CARTESIAN_POINT('',(4.74955429770737,-4.44105981693087,4.40638462045905)); #5026=CARTESIAN_POINT('',(4.74955429772945,-5.12119783803558,4.40638462071143)); #5027=CARTESIAN_POINT('',(4.74955429770737,16.226308964108,4.40638462045905)); #5028=CARTESIAN_POINT('',(4.95064054988269,-5.1211978380135,4.40638462045905)); #5029=CARTESIAN_POINT('',(4.70064440559558,-5.1211978380135,4.40638462045905)); #5030=CARTESIAN_POINT('',(4.70064440559558,-4.44105981693087,4.40638462045905)); #5031=CARTESIAN_POINT('',(4.70064440559558,16.226308964108,4.40638462045905)); #5032=CARTESIAN_POINT('',(4.95064054988269,16.226308964108,4.40638462045905)); #5033=CARTESIAN_POINT('',(4.95064054988269,-4.44105981693087,4.40638462045905)); #5034=CARTESIAN_POINT('',(4.72689100465336,15.7089401830691,4.70638462045905)); #5035=CARTESIAN_POINT('',(4.72689100465336,-5.14744443707128,4.70638462045905)); #5036=CARTESIAN_POINT('',(4.72689100465336,16.2525555631658,4.70638462045905)); #5037=CARTESIAN_POINT('',(5.99653250072861,17.522197059241,19.2184533263553)); #5038=CARTESIAN_POINT('',(4.75028509531044,-5.17083852772837,4.9737803002451)); #5039=CARTESIAN_POINT('',(4.70064054988269,-4.94744443695276,4.40634054945905)); #5040=CARTESIAN_POINT('',(-4.22564054988269,5.75894018306913,3.50634054945905)); #5041=CARTESIAN_POINT('',(-4.22564054988269,15.3500623649317,3.50634054945905)); #5042=CARTESIAN_POINT('',(-4.22564054988269,-4.24495123883719,3.50634054945905)); #5043=CARTESIAN_POINT('',(-0.00064054988269444,15.3500623649317,3.50634054945905)); #5044=CARTESIAN_POINT('',(-4.67190075270936,15.3500623649317,3.50634054945905)); #5045=CARTESIAN_POINT('',(-4.67190075270936,5.75894018306913,3.50634054945905)); #5046=CARTESIAN_POINT('',(-4.67190075270936,-4.24495123883719,3.50634054945905)); #5047=CARTESIAN_POINT('',(-0.00064054988269444,-4.24495123883719,3.50634054945905)); #5048=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,3.50634054945905)); #5049=CARTESIAN_POINT('',(4.22435945011731,5.75894018306913,3.50634054945905)); #5050=CARTESIAN_POINT('',(4.22435945011731,-4.24495123883719,3.50634054945905)); #5051=CARTESIAN_POINT('',(4.22435945011731,15.3500623649317,3.50634054945905)); #5052=CARTESIAN_POINT('',(-0.00064054988269444,-4.24495123883719,3.50634054945905)); #5053=CARTESIAN_POINT('',(4.67081064482115,-4.24495123883719,3.50634054945905)); #5054=CARTESIAN_POINT('',(4.67081064482115,-4.44105981693087,3.50634054945905)); #5055=CARTESIAN_POINT('',(4.67081064482115,15.3500623649317,3.50634054945905)); #5056=CARTESIAN_POINT('',(-0.00064054988269444,15.3500623649317,3.50634054945905)); #5057=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,3.50634054945905)); #5058=CARTESIAN_POINT('',(4.74935945011731,16.2525555631658,4.70638462045905)); #5059=CARTESIAN_POINT('',(-4.72689100465336,16.2525555631658,4.70638462045905)); #5060=CARTESIAN_POINT('',(-5.99653250072861,17.522197059241,19.2184533263553)); #5061=CARTESIAN_POINT('',(-4.70064440559558,16.226308964108,4.40638462045905)); #5062=CARTESIAN_POINT('',(-4.95064054988269,16.226308964108,4.40638462045905)); #5063=CARTESIAN_POINT('',(-4.75064440559558,16.226308964108,4.40638462045905)); #5064=CARTESIAN_POINT('',(-4.72715435186517,16.2028227660905,4.13789207772584)); #5065=CARTESIAN_POINT('',(-4.7243978065378,16.2000643002288,4.10638466230515)); #5066=CARTESIAN_POINT('',(4.74935945011731,16.2000623796945,4.10638478784343)); #5067=CARTESIAN_POINT('',(4.72330771329383,16.2000623796945,4.10638478784343)); #5068=CARTESIAN_POINT('',(4.79730883321119,16.2740634996118,4.9522214589618)); #5069=CARTESIAN_POINT('',(4.74935945011731,16.2744277290473,4.95638462045905)); #5070=CARTESIAN_POINT('',(4.76458704528503,-4.33872763930107,4.57820971152588)); #5071=CARTESIAN_POINT('',(4.72330769864959,-4.29744829266564,4.10638462045905)); #5072=CARTESIAN_POINT('',(4.72330769864959,-4.44105981693087,4.10638462045905)); #5073=CARTESIAN_POINT('',(4.72330771329383,-5.09495125359996,4.10638478784343)); #5074=CARTESIAN_POINT('',(4.74404462477685,-5.11568816508298,4.34340877069214)); #5075=CARTESIAN_POINT('',(4.72330769864959,-4.44105981693087,4.10638462045905)); #5076=CARTESIAN_POINT('',(4.72330769864959,15.4025594187601,4.10638462045905)); #5077=CARTESIAN_POINT('',(4.68769069978394,15.3669424198945,3.69928046055729)); #5078=CARTESIAN_POINT('',(4.7491691850219,-4.44105981693087,4.40198276232167)); #5079=CARTESIAN_POINT('',(-4.95064054988269,-5.1211978380135,4.40638462045905)); #5080=CARTESIAN_POINT('',(-4.70064440559558,-5.1211978380135,4.40638462045905)); #5081=CARTESIAN_POINT('',(-4.75064440559558,-5.12119976586994,4.40638462045905)); #5082=CARTESIAN_POINT('',(-4.75028509531044,-5.17083852772837,4.9737803002451)); #5083=CARTESIAN_POINT('',(-4.72689100465336,-5.14744443707128,4.70638462045905)); #5084=CARTESIAN_POINT('',(4.74935945011731,-5.14744443707128,4.70638462045905)); #5085=CARTESIAN_POINT('',(4.74935945011731,-5.09495125359996,4.10638478784343)); #5086=CARTESIAN_POINT('',(-4.7243978065378,-5.09495123895573,4.10638462045905)); #5087=CARTESIAN_POINT('',(-4.72715435186517,-5.09771163999599,4.13789207772584)); #5088=CARTESIAN_POINT('',(4.74935945011731,-5.16931660295276,4.95638462045905)); #5089=CARTESIAN_POINT('',(-4.6771581785104,-5.04771161092833,4.13793581648086)); #5090=CARTESIAN_POINT('',(-4.72689100465336,-5.09744443707129,4.70638462045905)); #5091=CARTESIAN_POINT('',(-4.70064440559558,-5.07119783801351,4.40638462045905)); #5092=CARTESIAN_POINT('',(-4.72689100465336,15.7089401830691,4.70638462045905)); #5093=CARTESIAN_POINT('',(-4.70064440559558,-4.44105981693087,4.40638462045905)); #5094=CARTESIAN_POINT('',(-4.70064054988269,-4.94744443695276,4.40634054945905)); #5095=CARTESIAN_POINT('',(-4.54139161426333,16.0170561727757,2.58611688617075)); #5096=CARTESIAN_POINT('',(-4.70064440559558,16.176308964108,4.40638462045905)); #5097=CARTESIAN_POINT('',(-4.72689100465336,16.2025555631657,4.70638462045905)); #5098=CARTESIAN_POINT('',(-4.70064440559558,-4.44105981693087,4.40638462045905)); #5099=CARTESIAN_POINT('',(-4.72689100465336,15.7089401830691,4.70638462045905)); #5100=CARTESIAN_POINT('',(-4.70064054988269,-4.94744443695276,4.40634054945905)); #5101=CARTESIAN_POINT('',(-4.75064440559558,-4.94744443695276,4.40638462045905)); #5102=CARTESIAN_POINT('',(-4.70064440559558,-4.44105981693087,4.40638462045905)); #5103=CARTESIAN_POINT('',(-4.95064054988269,-4.44105981693087,4.40638462045905)); #5104=CARTESIAN_POINT('',(0.999999999620462,16.2025555632095,4.70638462045905)); #5105=CARTESIAN_POINT('',(4.72560990488798,16.2025555631657,4.70638462045905)); #5106=CARTESIAN_POINT('',(4.74935945011731,-5.09744443707129,4.70638462045905)); #5107=CARTESIAN_POINT('',(4.72560990488798,-5.09744443707129,4.70638462045905)); #5108=CARTESIAN_POINT('',(4.72560990488798,15.7089401830691,4.70638462045905)); #5109=CARTESIAN_POINT('',(4.74935945011731,15.7089401830691,4.70638462045905)); #5110=CARTESIAN_POINT('',(-4.7243978065378,-4.94744443695276,4.10638462045905)); #5111=CARTESIAN_POINT('',(-4.7243978065378,-4.29744829266564,4.10638462045905)); #5112=CARTESIAN_POINT('',(-4.6122628449017,-4.18531333102954,2.82467614399026)); #5113=CARTESIAN_POINT('',(-4.59740387525314,15.2755654874755,2.65483734374212)); #5114=CARTESIAN_POINT('',(-4.7243978065378,15.4025594187601,4.10638462045905)); #5115=CARTESIAN_POINT('',(-4.7243978065378,-4.94744443695276,4.10638462045905)); #5116=CARTESIAN_POINT('',(-4.75064054988269,-4.94744443695276,4.40634054945905)); #5117=CARTESIAN_POINT('',(4.74935945011731,16.1500623796945,4.10638478784343)); #5118=CARTESIAN_POINT('',(4.67311670677242,16.1500623650502,4.10638462045905)); #5119=CARTESIAN_POINT('',(-4.6743978065378,16.1500643002288,4.10638466230515)); #5120=CARTESIAN_POINT('',(4.67311670677242,5.75894018306913,4.10638462045905)); #5121=CARTESIAN_POINT('',(4.67311670677242,15.4025594187601,4.10638462045905)); #5122=CARTESIAN_POINT('',(-0.00064054988269444,15.4025594187601,4.10638462045905)); #5123=CARTESIAN_POINT('',(-0.00064054988269444,15.4025594187601,4.10638462045905)); #5124=CARTESIAN_POINT('',(-4.6743978065378,15.4025594187601,4.10638462045905)); #5125=CARTESIAN_POINT('',(-4.6743978065378,-4.94744443695276,4.10638462045905)); #5126=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,4.10638462045905)); #5127=CARTESIAN_POINT('',(4.67311670677242,15.4025555630472,4.10634054945905)); #5128=CARTESIAN_POINT('',(4.67311670677242,15.3588150869971,3.60638462045905)); #5129=CARTESIAN_POINT('',(-0.00064054988269444,15.3588150869971,3.60638462045905)); #5130=CARTESIAN_POINT('',(4.22435945011731,15.3588150869971,3.60638462045905)); #5131=CARTESIAN_POINT('',(4.22435945011731,15.4025555630472,4.10634054945905)); #5132=CARTESIAN_POINT('',(-0.00064054988269444,15.4025555630472,4.10634054945905)); #5133=CARTESIAN_POINT('',(-4.6743978065378,15.4025555630472,4.10634054945905)); #5134=CARTESIAN_POINT('',(-4.6743978065378,15.3588150869971,3.60638462045905)); #5135=CARTESIAN_POINT('',(-4.22564054988269,15.4025555630472,4.10634054945905)); #5136=CARTESIAN_POINT('',(-4.22564054988269,15.3588150869971,3.60638462045905)); #5137=CARTESIAN_POINT('',(-0.00064054988269444,15.3588150869971,3.60638462045905)); #5138=CARTESIAN_POINT('',(-0.00064054988269444,15.4025555630472,4.10634054945905)); #5139=CARTESIAN_POINT('',(10.1993594501173,-4.29744829266564,4.10638462045905)); #5140=CARTESIAN_POINT('',(4.67311670677242,-4.29744829266564,4.10638462045905)); #5141=CARTESIAN_POINT('',(4.67311670677242,-4.44105981693087,4.10638462045905)); #5142=CARTESIAN_POINT('',(4.67311670677242,-5.0449531741343,4.10638466230515)); #5143=CARTESIAN_POINT('',(4.74935945011731,-5.04495125359997,4.10638478784343)); #5144=CARTESIAN_POINT('',(-4.6743978065378,-5.0449531741343,4.10638462045905)); #5145=CARTESIAN_POINT('',(-4.6743978065378,5.75894018306913,4.10638462045905)); #5146=CARTESIAN_POINT('',(-4.6743978065378,-4.29744829266564,4.10638462045905)); #5147=CARTESIAN_POINT('',(10.1993594501173,-4.29744829266564,4.10638462045905)); #5148=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,4.10638462045905)); #5149=CARTESIAN_POINT('',(4.67311670677242,-4.29744443695275,4.10634054945905)); #5150=CARTESIAN_POINT('',(4.67311670677242,-4.25370396090267,3.60638462045905)); #5151=CARTESIAN_POINT('',(4.22435945011731,-4.29744443695275,4.10634054945905)); #5152=CARTESIAN_POINT('',(4.22435945011731,-4.25370396090267,3.60638462045905)); #5153=CARTESIAN_POINT('',(10.1993594501173,-4.25370396090267,3.60638462045905)); #5154=CARTESIAN_POINT('',(10.1993594501173,-4.29744443695275,4.10634054945905)); #5155=CARTESIAN_POINT('',(-4.6743978065378,-4.29744443695275,4.10634054945905)); #5156=CARTESIAN_POINT('',(-4.6743978065378,-4.25370396090267,3.60638462045905)); #5157=CARTESIAN_POINT('',(10.1993594501173,-4.25370396090267,3.60638462045905)); #5158=CARTESIAN_POINT('',(-4.22564054988269,-4.25370396090268,3.60638462045906)); #5159=CARTESIAN_POINT('',(-4.22564054988269,-3.75735795931415,-2.06687613796427)); #5160=CARTESIAN_POINT('',(10.1993594501173,-4.29744443695275,4.10634054945905)); #5161=CARTESIAN_POINT('',(-4.22564054988269,5.65255556302836,3.60638462045905)); #5162=CARTESIAN_POINT('',(-4.22564054988269,5.65255556302836,-1.24361538)); #5163=CARTESIAN_POINT('',(4.22435945011731,5.65255556302836,3.60638462045905)); #5164=CARTESIAN_POINT('',(4.22435945011731,5.65255556302836,-1.24361538)); #5165=CARTESIAN_POINT('',(-4.6743978065378,5.55255460278008,3.60638462045905)); #5166=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,3.60638462045905)); #5167=CARTESIAN_POINT('',(4.74749622406465,-5.11933075624796,4.95654639336337)); #5168=CARTESIAN_POINT('',(-4.6771581785104,-5.04771161092833,4.13793581648086)); #5169=CARTESIAN_POINT('',(4.74935945011731,-5.11931660295277,4.95638462045905)); #5170=CARTESIAN_POINT('',(-4.54139161426333,16.0170561727757,2.58611688617075)); #5171=CARTESIAN_POINT('',(4.5439280759389,16.0208737342167,2.62975181310975)); #5172=CARTESIAN_POINT('',(4.74935945011731,16.2244277290473,4.95638462045905)); #5173=CARTESIAN_POINT('',(-4.6743978065378,-4.94744443695276,4.10638462045905)); #5174=CARTESIAN_POINT('',(-4.70064054988269,-4.94744443695276,4.40634054945905)); #5175=CARTESIAN_POINT('',(4.67311670677242,-4.44105981693087,4.10638462045905)); #5176=CARTESIAN_POINT('',(4.69935945011731,-4.44105981693087,4.40634054945905)); #5177=CARTESIAN_POINT('',(4.67311670677242,5.55255460278008,3.60638462045905)); #5178=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,3.60638462045905)); #5179=CARTESIAN_POINT('',(4.67311670677242,5.55255460278008,3.60638462045905)); #5180=CARTESIAN_POINT('',(-4.6743978065378,5.55255460278008,3.60638462045905)); #5181=CARTESIAN_POINT('',(5.4993594501129,15.2525555630692,2.00638462011117)); #5182=CARTESIAN_POINT('',(5.39935945012173,15.2525555630692,2.00638462011419)); #5183=CARTESIAN_POINT('',(5.4993594501129,15.2525555630692,2.00638462011117)); #5184=CARTESIAN_POINT('',(5.39935945012173,18.2525555630692,2.00638462011419)); #5185=CARTESIAN_POINT('',(5.39935945012173,18.2525555630692,-0.993615379885818)); #5186=CARTESIAN_POINT('',(5.44926161474689,18.2525555630692,-0.993615379885814)); #5187=CARTESIAN_POINT('',(5.4993594501129,18.2525555630692,-0.993615379885818)); #5188=CARTESIAN_POINT('',(5.4993594501129,18.2525555630692,2.00638462011419)); #5189=CARTESIAN_POINT('',(15.4493594501218,18.2525555630692,2.00638462011419)); #5190=CARTESIAN_POINT('',(5.4993594501129,0.389342868058765,3.10638462011415)); #5191=CARTESIAN_POINT('',(5.4993594501129,15.2525555630692,3.10638462011414)); #5192=CARTESIAN_POINT('',(5.4993594501129,15.1470065004966,3.10638462011415)); #5193=CARTESIAN_POINT('',(-5.50064054987828,15.1470065004946,3.10638462011415)); #5194=CARTESIAN_POINT('',(5.39935945012173,15.1470065004946,3.10638462011415)); #5195=CARTESIAN_POINT('',(5.39935945012173,-3.80005693693083,3.10638462011415)); #5196=CARTESIAN_POINT('',(5.39935945012173,15.2525555630692,3.10638462011415)); #5197=CARTESIAN_POINT('',(5.4993594501129,15.2525555630692,3.10638462011414)); #5198=CARTESIAN_POINT('',(-5.1533263741349,-3.80005693693083,3.10638462011415)); #5199=CARTESIAN_POINT('',(5.4993594501129,15.2525555630692,2.00638462011829)); #5200=CARTESIAN_POINT('',(5.39935945012173,15.2525555630692,1.70638462011418)); #5201=CARTESIAN_POINT('',(5.4993594501129,15.2525555630692,2.00638462011829)); #5202=CARTESIAN_POINT('',(5.39935945012173,15.1470065004946,2.00638462011418)); #5203=CARTESIAN_POINT('',(5.39935945012173,15.1470065004946,2.00638462011418)); #5204=CARTESIAN_POINT('',(5.39935945012173,18.1470065004946,2.00638462011418)); #5205=CARTESIAN_POINT('',(5.39935945012173,18.1470065004946,-0.993615379885818)); #5206=CARTESIAN_POINT('',(5.39935945012173,-7.14744443693084,-0.993615379885818)); #5207=CARTESIAN_POINT('',(5.39935945012173,-7.14744443693084,2.0063846201142)); #5208=CARTESIAN_POINT('',(-6.30064054988722,18.1470065004946,-0.993615379885818)); #5209=CARTESIAN_POINT('',(5.4993594501129,18.1470065004946,-0.993615379885818)); #5210=CARTESIAN_POINT('',(5.4993594501129,20.1125555630691,-0.993615379885818)); #5211=CARTESIAN_POINT('',(6.29935945012184,20.1125555630691,-0.993615379885818)); #5212=CARTESIAN_POINT('',(5.4993594501129,18.1470065004946,2.00638462011418)); #5213=CARTESIAN_POINT('',(5.4993594501129,15.1470065004985,2.00638941126044)); #5214=CARTESIAN_POINT('',(5.4993594501129,15.1470065004946,14.0045899807692)); #5215=CARTESIAN_POINT('',(5.4993594501129,0.389342868058765,14.0045899807692)); #5216=CARTESIAN_POINT('',(-5.50064054987828,15.1470065004946,2.00638462011418)); #5217=CARTESIAN_POINT('',(-6.30064054988722,18.1470065004946,2.00638462011418)); #5218=CARTESIAN_POINT('',(-5.50064054987828,15.1470065004946,2.00638462011418)); #5219=CARTESIAN_POINT('',(-5.40064054988711,-7.14744443693084,3.10638462011415)); #5220=CARTESIAN_POINT('',(-5.40064054988711,15.2525555630692,3.10638462011415)); #5221=CARTESIAN_POINT('',(-5.40064054988711,15.1470065004946,3.10638462011415)); #5222=CARTESIAN_POINT('',(-5.1533263741349,15.1470065004946,3.10638462011415)); #5223=CARTESIAN_POINT('',(-5.50064054987828,15.1470065004946,3.10638462011415)); #5224=CARTESIAN_POINT('',(-5.50064054987828,0.389342868058765,3.10638462011415)); #5225=CARTESIAN_POINT('',(-5.50064054987828,15.2525555630692,3.10638462011415)); #5226=CARTESIAN_POINT('',(-5.1533263741349,15.2525555630692,3.10638462011415)); #5227=CARTESIAN_POINT('',(-5.1533263741349,-3.80005693693083,3.10638462011415)); #5228=CARTESIAN_POINT('',(-5.50064054987828,18.2525555630692,2.00638462011419)); #5229=CARTESIAN_POINT('',(-5.50064054987828,15.2525555630725,2.00638019654129)); #5230=CARTESIAN_POINT('',(-5.50064054987828,18.2525555630692,-0.993615379885818)); #5231=CARTESIAN_POINT('',(5.44926161474689,18.2525555630692,-0.993615379885814)); #5232=CARTESIAN_POINT('',(-5.40064054988711,18.2525555630692,-0.993615379885818)); #5233=CARTESIAN_POINT('',(-5.40064054988711,18.2525555630692,2.00638462011419)); #5234=CARTESIAN_POINT('',(-5.40064054988711,15.2525555630692,2.00638019654129)); #5235=CARTESIAN_POINT('',(-5.4506405498827,15.2525555630692,2.00638462011419)); #5236=CARTESIAN_POINT('',(15.4493594501218,18.2525555630692,2.00638462011419)); #5237=CARTESIAN_POINT('',(-5.50064054987828,15.2525555630692,14.0045899807692)); #5238=CARTESIAN_POINT('',(-5.40064054988711,15.2525555630692,2.0063846201142)); #5239=CARTESIAN_POINT('',(5.4993594501129,15.2525555630692,2.00638462011829)); #5240=CARTESIAN_POINT('',(-5.40064054988711,20.1125555630691,-0.993615379885818)); #5241=CARTESIAN_POINT('',(-5.40064054988711,18.1470065004946,-0.993615379885818)); #5242=CARTESIAN_POINT('',(-5.40064054988711,18.1470065004946,2.00638462011418)); #5243=CARTESIAN_POINT('',(-5.40064054988711,15.1470065004946,2.00638462011418)); #5244=CARTESIAN_POINT('',(-5.40064054988711,15.1470065004946,2.0063846201142)); #5245=CARTESIAN_POINT('',(-5.40064054988711,-7.14744443693084,2.0063846201142)); #5246=CARTESIAN_POINT('',(-5.50064054987828,15.1470065004946,14.0045899807692)); #5247=CARTESIAN_POINT('',(-5.50064054987828,15.1470065004946,2.00638957096532)); #5248=CARTESIAN_POINT('',(-5.50064054987828,18.1470065004946,2.00638462011418)); #5249=CARTESIAN_POINT('',(-5.50064054987828,18.1470065004946,-0.993615379885818)); #5250=CARTESIAN_POINT('',(-5.50064054987828,20.1125555630691,-0.993615379885818)); #5251=CARTESIAN_POINT('',(-5.50064054987828,0.389342868058765,14.0045899807692)); #5252=CARTESIAN_POINT('',(6.29935945012184,18.1470065004946,-0.993615379885818)); #5253=CARTESIAN_POINT('',(6.29935945012184,20.1125555630691,-0.993615379885818)); #5254=CARTESIAN_POINT('',(-5.50064054987828,15.1470065004946,2.00638957096532)); #5255=CARTESIAN_POINT('',(-5.50064054987828,15.1470065004946,2.00638462011418)); #5256=CARTESIAN_POINT('',(6.29935945012184,18.1470065004946,2.00638462011418)); #5257=CARTESIAN_POINT('',(-3.26273928153383,-3.24744443697164,4.50634054945905)); #5258=CARTESIAN_POINT('',(-3.2506405493827,-3.24744443697164,4.50634054945905)); #5259=CARTESIAN_POINT('',(3.2493594506173,-3.24744443697164,4.50634054945905)); #5260=CARTESIAN_POINT('',(-3.2506405493827,0.502555563028362,4.50634054945905)); #5261=CARTESIAN_POINT('',(-3.2506405493827,3.25255556302835,4.50634054945905)); #5262=CARTESIAN_POINT('',(3.26145818276845,3.25255556302835,4.50634054945905)); #5263=CARTESIAN_POINT('',(3.2493594506173,3.25255556302835,4.50634054945905)); #5264=CARTESIAN_POINT('',(3.2493594506173,0.502555563028362,4.50634054945905)); #5265=CARTESIAN_POINT('',(-0.00128109888268904,-103.891059816931,4.50634054945905)); #5266=CARTESIAN_POINT('',(3.26145818276845,3.25255556302835,4.10634054945905)); #5267=CARTESIAN_POINT('',(-3.2506405493827,3.25255556302835,4.10634054945905)); #5268=CARTESIAN_POINT('',(3.2493594506173,3.25255556302835,4.10634054945905)); #5269=CARTESIAN_POINT('',(3.2493594506173,3.25255556302835,4.20634054945906)); #5270=CARTESIAN_POINT('',(-3.2506405493827,3.25255556302835,4.15634054945906)); #5271=CARTESIAN_POINT('',(3.26145818276845,3.25255556302835,4.15634054945906)); #5272=CARTESIAN_POINT('',(3.2493594506173,0.502555563028362,4.10634054945905)); #5273=CARTESIAN_POINT('',(3.2493594506173,-3.24744443697164,4.10634054945905)); #5274=CARTESIAN_POINT('',(3.2493594506173,-3.24744443697164,4.15634054945906)); #5275=CARTESIAN_POINT('',(3.2493594506173,0.502555563028362,4.20634054945906)); #5276=CARTESIAN_POINT('',(-3.26273928153383,-3.24744443697164,4.10634054945905)); #5277=CARTESIAN_POINT('',(-3.2506405493827,-3.24744443697164,4.10634054945905)); #5278=CARTESIAN_POINT('',(-3.2506405493827,-3.24744443697164,4.20634054945906)); #5279=CARTESIAN_POINT('',(-3.26273928153383,-3.24744443697164,4.15634054945906)); #5280=CARTESIAN_POINT('',(-3.2506405493827,0.502555563028362,4.10634054945905)); #5281=CARTESIAN_POINT('',(-3.2506405493827,0.502555563028362,4.20634054945906)); #5282=CARTESIAN_POINT('',(-2.81096096903828,-1.18108223321481,4.10634054945905)); #5283=CARTESIAN_POINT('',(-3.12620688160779,-0.432420811136397,4.10634054945905)); #5284=CARTESIAN_POINT('',(-3.1267817511764,0.436166708806321,4.10634054945905)); #5285=CARTESIAN_POINT('',(-2.81096096903813,1.18619335927141,4.10634054945905)); #5286=CARTESIAN_POINT('',(-2.81097324464901,-1.18108445584081,4.10636258495905)); #5287=CARTESIAN_POINT('',(-2.81097324464886,1.18619558189787,4.10636258495905)); #5288=CARTESIAN_POINT('',(-1.18363779662605,-2.8084054056272,4.10634054945905)); #5289=CARTESIAN_POINT('',(-1.61705588815433,-3.22788127253388,4.10634054945905)); #5290=CARTESIAN_POINT('',(-2.37155916744104,-3.2210156479503,4.10634054945905)); #5291=CARTESIAN_POINT('',(-3.22397839622822,-2.36941078889649,4.10634054945905)); #5292=CARTESIAN_POINT('',(-3.23149633839059,-1.61559504213692,4.10634054945905)); #5293=CARTESIAN_POINT('',(-2.81096096903827,-1.18108223321481,4.10634054945905)); #5294=CARTESIAN_POINT('',(-1.18364001925228,-2.80841768123778,4.10636258495905)); #5295=CARTESIAN_POINT('',(1.18363779586052,-2.8084054056272,4.10634054945905)); #5296=CARTESIAN_POINT('',(0.434976373788924,-3.12365131819417,4.10634054945905)); #5297=CARTESIAN_POINT('',(-0.433611146169136,-3.12422618776215,4.10634054945905)); #5298=CARTESIAN_POINT('',(-1.18363779662604,-2.8084054056272,4.10634054945905)); #5299=CARTESIAN_POINT('',(1.18364001848675,-2.80841768123783,4.10636258495905)); #5300=CARTESIAN_POINT('',(2.81096096827284,-1.181082233215,4.10634054945905)); #5301=CARTESIAN_POINT('',(3.2304368351754,-1.61450032473872,4.10634054945905)); #5302=CARTESIAN_POINT('',(3.22357121059322,-2.36900360403213,4.10634054945905)); #5303=CARTESIAN_POINT('',(2.37196635154535,-3.22142283281508,4.10634054945905)); #5304=CARTESIAN_POINT('',(1.61815060478671,-3.22894077498347,4.10634054945905)); #5305=CARTESIAN_POINT('',(1.18363779586052,-2.8084054056272,4.10634054945905)); #5306=CARTESIAN_POINT('',(2.81097324388357,-1.18108445584095,4.10636258495905)); #5307=CARTESIAN_POINT('',(2.81096096827281,1.18619335927141,4.10634054945905)); #5308=CARTESIAN_POINT('',(3.12620688084248,0.437531937192477,4.10634054945905)); #5309=CARTESIAN_POINT('',(3.12678175041093,-0.431055582749399,4.10634054945905)); #5310=CARTESIAN_POINT('',(2.81096096827284,-1.181082233215,4.10634054945905)); #5311=CARTESIAN_POINT('',(2.81097324388355,1.18619558189773,4.10636258495905)); #5312=CARTESIAN_POINT('',(1.18363779586048,2.8135165316836,4.10634054945905)); #5313=CARTESIAN_POINT('',(1.61705588738894,3.23299239859076,4.10634054945905)); #5314=CARTESIAN_POINT('',(2.37155916667643,3.22612677400531,4.10634054945905)); #5315=CARTESIAN_POINT('',(3.22397839546192,2.37452191495468,4.10634054945905)); #5316=CARTESIAN_POINT('',(3.23149633763003,1.62070616819833,4.10634054945905)); #5317=CARTESIAN_POINT('',(2.81096096827282,1.18619335927141,4.10634054945905)); #5318=CARTESIAN_POINT('',(1.18364001848671,2.81352880729458,4.10636258495905)); #5319=CARTESIAN_POINT('',(-1.18363779662571,2.81351653168381,4.10634054945905)); #5320=CARTESIAN_POINT('',(-0.434976374554459,3.12876244425019,4.10634054945905)); #5321=CARTESIAN_POINT('',(0.433611145403685,3.129337313818,4.10634054945905)); #5322=CARTESIAN_POINT('',(1.18363779586048,2.8135165316836,4.10634054945905)); #5323=CARTESIAN_POINT('',(-1.18364001925194,2.81352880729464,4.10636258495905)); #5324=CARTESIAN_POINT('',(-2.81096096903813,1.18619335927141,4.10634054945905)); #5325=CARTESIAN_POINT('',(-3.23043683594484,1.6196114507999,4.10634054945905)); #5326=CARTESIAN_POINT('',(-3.22357121137128,2.37411473008319,4.10634054945905)); #5327=CARTESIAN_POINT('',(-2.37196635229744,3.2265339588768,4.10634054945905)); #5328=CARTESIAN_POINT('',(-1.61815060555181,3.23405190103952,4.10634054945905)); #5329=CARTESIAN_POINT('',(-1.18363779662571,2.81351653168381,4.10634054945905)); #5330=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,4.10638462045905)); #5331=CARTESIAN_POINT('',(-0.00128109888268904,-103.891059816931,4.10634054945905)); #5332=CARTESIAN_POINT('',(-3.25064054988269,5.50574787305852,4.50638462045905)); #5333=CARTESIAN_POINT('',(-3.25064054988269,3.85255556302835,4.50638462045905)); #5334=CARTESIAN_POINT('',(-3.25064054988269,14.2025555630284,4.50638462045905)); #5335=CARTESIAN_POINT('',(-0.0753405498827569,14.2025555630284,4.50638462045905)); #5336=CARTESIAN_POINT('',(3.24935945011731,14.2025555630284,4.50638462045905)); #5337=CARTESIAN_POINT('',(3.24935945011731,5.50574787305852,4.50638462045905)); #5338=CARTESIAN_POINT('',(3.24935945011731,3.85255556302835,4.50638462045905)); #5339=CARTESIAN_POINT('',(-0.0753405498827569,3.85255556302835,4.50638462045905)); #5340=CARTESIAN_POINT('',(-0.000640549882689398,-103.891059816931,4.50638462045905)); #5341=CARTESIAN_POINT('',(-0.0753405498827569,14.2025555630284,4.10634054945905)); #5342=CARTESIAN_POINT('',(-3.25064054988269,14.2025555630284,4.10634054945905)); #5343=CARTESIAN_POINT('',(3.24935945011731,14.2025555630284,4.10634054945905)); #5344=CARTESIAN_POINT('',(3.24935945011731,14.2025555630284,0.478192310036589)); #5345=CARTESIAN_POINT('',(-3.25064054988269,14.2025555630284,4.68138462045905)); #5346=CARTESIAN_POINT('',(-0.0753405498827569,14.2025555630284,0.478192310036589)); #5347=CARTESIAN_POINT('',(3.24935945011731,5.50574787305852,4.10634054945905)); #5348=CARTESIAN_POINT('',(3.24935945011731,3.85255556302835,4.10634054945905)); #5349=CARTESIAN_POINT('',(3.24935945011731,3.85255556302835,4.68138462045905)); #5350=CARTESIAN_POINT('',(3.24935945011731,5.50574787305852,4.68138462045905)); #5351=CARTESIAN_POINT('',(-0.0753405498827569,3.85255556302835,4.10634054945905)); #5352=CARTESIAN_POINT('',(3.22435945011731,3.85255556302835,4.10637368640124)); #5353=CARTESIAN_POINT('',(-0.0753405498827569,3.85255556302835,4.10638478784343)); #5354=CARTESIAN_POINT('',(-3.22564054988269,3.85255556302835,4.10637368640124)); #5355=CARTESIAN_POINT('',(-0.0753405498827569,3.85255556302835,4.10634054945905)); #5356=CARTESIAN_POINT('',(-3.25064054988269,3.85255556302835,4.10634054945905)); #5357=CARTESIAN_POINT('',(-3.25064054988269,3.85255556302835,0.478192310036589)); #5358=CARTESIAN_POINT('',(-0.0753405498827569,3.85255556302835,0.478192310036589)); #5359=CARTESIAN_POINT('',(-3.25064054988269,5.50574787305852,4.10634054945905)); #5360=CARTESIAN_POINT('',(-3.25064054988269,5.50574787305852,4.68138462045905)); #5361=CARTESIAN_POINT('',(-3.22564054988269,3.8525555630282,4.10634054945905)); #5362=CARTESIAN_POINT('',(-3.22564054988269,7.28588889636154,4.10634054945905)); #5363=CARTESIAN_POINT('',(-3.22564054988269,10.7192222296949,4.10634054945905)); #5364=CARTESIAN_POINT('',(-3.22564054988269,14.1525555630282,4.10634054945905)); #5365=CARTESIAN_POINT('',(-3.22564054988269,14.1525555630284,4.10636258495905)); #5366=CARTESIAN_POINT('',(-3.22564054988269,14.1525555630282,4.10634054945905)); #5367=CARTESIAN_POINT('',(-1.07564054988269,14.1525555630282,4.10634054945905)); #5368=CARTESIAN_POINT('',(1.07435945011731,14.1525555630282,4.10634054945905)); #5369=CARTESIAN_POINT('',(3.22435945011731,14.1525555630282,4.10634054945905)); #5370=CARTESIAN_POINT('',(3.22435945011731,14.1525555630284,4.10636258495905)); #5371=CARTESIAN_POINT('',(3.22435945011731,14.1525555630282,4.10634054945905)); #5372=CARTESIAN_POINT('',(3.22435945011731,10.7192222296949,4.10634054945905)); #5373=CARTESIAN_POINT('',(3.22435945011731,7.28588889636154,4.10634054945905)); #5374=CARTESIAN_POINT('',(3.22435945011731,3.8525555630282,4.10634054945905)); #5375=CARTESIAN_POINT('',(-0.00128109888268904,-103.891059816931,4.10634054945905)); #5376=CARTESIAN_POINT('',(-0.00064054988269444,5.75894018306913,4.10638462045905)); #5377=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#5378)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#5384,#5380,#5379)) REPRESENTATION_CONTEXT('\X2\9AD8901F5F005173\X0\', 'TOP_LEVEL_ASSEMBLY_PART') ); #5378=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.0508),#5384, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #5379=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #5380=( CONVERSION_BASED_UNIT('DEGREE',#5382) NAMED_UNIT(#5381) PLANE_ANGLE_UNIT() ); #5381=DIMENSIONAL_EXPONENTS(0.,0.,0.,0.,0.,0.,0.); #5382=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(0.0174532925),#5383); #5383=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #5384=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); ENDSEC; END-ISO-10303-21;